特性

Size: px
Start display at page:

Download "特性"

Transcription

1 特性 产品描述 1 SDTV 视频放大支持 CVBS 视频 3 Full-HD 视频放大支持视频 Y'Pb'Pr'-1080p60 R'G'B' 或 VGA/SVGA/XGA 集成优化的 6 th -order Butterworth 视频重构滤波器 : CVBS: -3dB at 9MHz, 阻带抑制 57.2dB (27MHz) FHD 通道 : -3dB at 72MHz, 阻带抑制 39dB (148MHz) 多样化的输入偏置电路 : - DC- 耦合时提供 80-mV 电平移位 - AC- 耦合时提供透明钳位及 80-mV 电平移位 - 允许 AC- 耦合时带 DC- 偏置 总静态电流低至 38.3mA( 典型值 ) 6dB 增益 (2V/V), 轨至轨输出 允许交流或直流输出耦合, 允许驱动 2 路视频 线路或驱动 75Ω 宽电压范围 :+3.0V 至 +5.5V 单电源 优化的高性能 ESD 保护及浪涌保护 : - Robust 8kV HBM and 2kV CDM ESD Rating 绿色产品,MSOP-10 和 TSSOP-14 封装 应用 机顶盒视频输出滤波驱动 PVR Blu-ray DVD 媒体播放器的视频缓冲 USB 供电 便携式设备的视频信号放大缓冲 高清电视机 是一款专门针对视频应用而设计的高性能 低成本视频重构滤波器, 它将卓越的视频指标与低功耗进行完美结合 该滤波器由 4 个独立的六阶 Butterworth 滤波缓冲器组成, 适合用于 DAC 重构滤波或者 ADC 抗混叠滤波 集成优化的单路 9MHz 标清滤波器和三路 72MHz 全高清滤波器, 使之成为 CVBS 和 Y'Pb'Pr' 1080p60 Y'U'V' RGB 等全高清视频信号滤波应用的理想选择 的动态范围满足要求最严苛的视频系统所需, 其输入可灵活使用交流耦合或直流耦合 片上集成优化的 Transparent Sync-tip Clamp 电路, 交流耦合输入时钳位电路将 CVBS Y' 或 RGB 信号的同步电平固定在 40mV, 上拉电阻可以将无同步信号的 Pb' Pr' 信号偏置 ; 同时, 片上 Level Shifter 电路将信号整体抬升 80mV, 由此可以使视频信号无失真的通过器件 直流耦合输入时片上 Level Shifter 工作, 以允许完整的同步动态范围信号干净的通过该器件 适合用于视频信号的各种输出缓冲应用, 提供 6dB 增益 (2V/V), 支持轨至轨输出, 支持交流或直流线路驱动 具备优化的高性能静电防护及浪涌保护, 非常适合用于全高清机顶盒等设备的视频输出驱动以保护系统的主板器件 采用 +3.0V 至 +5.5V 单电源供电, 总静态电流低至 38.3mA, 非常适合用于低功耗或功率敏感的 USB 供电或电池供电应用 采用小型 10- 引脚 MSOP 封装和 14- 引脚 TSSOP 封装, 工作温度范围为 40 C 至 +85 C 工业温度范围 设计支持 AN-1201: TPF1xx 系列产品应用指南 功能方框图 Channel 1 Input gm Sync-Tip Clamp (DC Restore) 80mV Level Shift LPF 6 th -order 9-MHz 6dB Channel 1 Output Channel 2 Input gm Sync-Tip Clamp (DC Restore) 80mV Level Shift LPF 6 th -order 72-MHz 6dB Channel 2 Output Channel 3 Input gm Sync-Tip Clamp (DC Restore) 80mV Level Shift LPF 6 th -order 72-MHz 6dB Channel 3 Output Channel 4 Input gm Sync-Tip Clamp (DC Restore) 80mV Level Shift LPF 6 th -order 72-MHz 6dB Channel 4 Output GND PEAK IORPORATED

2 样片或购买信息 型号 工作温度范围 封装形式 产品标示 包装 数量 -VR -40 to 85 C MSOP-10-EP Tape and Reel, TR -40 to 85 C TSSOP-14 Tape and Reel, 3000 U-TR -40 to 85 C TSSOP-14 U Tape and Reel, 3000 引脚排列 ( 俯视图 ) CVBS IN 1 10 CVBS OUT CVBS IN 1 14 CVBS OUT CVBS IN 1 14 CVBS OUT HD CH1 IN HD CH2 IN HD CH3 IN PIN MSOP (Exposed-pad) (-V Suffix) GND HD CH1 OUT HD CH2 OUT HD CH3 OUT HD CH1 IN HD CH2 IN HD CH3 IN GND PIN TSSOP (-T Suffix) HD CH1 OUT HD CH2 OUT HD CH3 OUT HD CH1 IN HD CH2 IN HD CH3 IN U 14-PIN TSSOP (-T Suffix) GND HD CH1 OUT HD CH2 OUT HD CH3 OUT GND 引脚功能表 引脚序号 引脚名称 功能 CVBS IN SD Video Input, Channel HD CH1 IN HD Video Input, Channel HD CH2 IN HD Video Input, Channel HD CH3 IN HD Video Input, Channel V S Positive Power Supply 6,7,8,9 2, 6, 9 No Connection 9 5 8,13 GND Ground HD CH3 OUT HD Filtered Output, Channel HD CH2 OUT HD Filtered Output, Channel HD CH1 OUT HD Filtered Output, Channel CVBS OUT SD Filtered Output, Channel 1 绝对最大额定参数 * 参数 值 单位 供电电压,V DD 至 GND 6.0 V V IN 输入电压 V DD + 0.3V to GND - 0.3V I O 输出短路电流 65 ma T J 最大结点温度, 任何环境 150 C T A 供电时的环境温度范围 45 to 85 C T STG 存储温度范围 65 to 150 C TL 引线温度范围 (Soldering 10 sec) 300 C * 注意 : 如果器件运行条件超过上述各项绝对最大额定值, 可能对器件造成永久性损坏 上述参数仅是允许条件的极大值, 我们 不建议使器件运行在该条件下或在技术规范以外的条件下运行 这只是强调的额定值, 不涉及器件在这些或任何其他条件下超出本 技术规格指标的功能性操作 长期在绝对最大额定值条件下工作会影响器件的可靠性 PEAK IORPORATED ~ 2 ~

3 静电放电保护 (ESD, Electrostatic Discharge Protection) Symbol Parameter Condition Minimum Level Unit HBM Human Body Model ESD MIL-STD-883H Method kv CDM Charged Device Model ESD JEDEC-EIA/JESD22-C101E 2 kv REV1.0 ~ 3 ~ PEAK IORPORATED

4 电气特性除非另有说明, 否则 V DD = 3.3V,T A = +25 C,R L = 150Ω 至 GND 符号参数说明测试条件最小值典型值最大值单位 输入电气特性 V DD 电源电压范围 V I DD 总静态电流 (I Q ) V DD = 3.3V, V IN = 500mV, no load ma V DD = 5.0V, V IN = 500mV, no load ma I CLAMP-DOWN 下拉钳位电流 V IN =300mV, measure current μa I CLAMP-UP 上拉钳位电流 V Y = -0.2V ma V CLAMP 输入钳位电压 I Y = -100μA mv R IN 输入阻抗 0.5V < V Y < 1V MΩ AV 电压增益 V IN =0.5V,1V or 2V to GND db ΔAV Channel Mismatch % V OLS Output Level Shift Voltage V IN = 0V, no load, input referred mv V OL Output Voltage Low Swing V IN = -0.3V, R L =75Ω 0.05 V V OH Output Voltage High Swing V IN = 3V, R L =75Ω to GND (dual load) 3.18 V PSRR I SC 交流电气特性 f -1dB f -3dB Att 27MHz 电源电压抑制比 输出短路电流 -1dB 带宽 -3dB 带宽 Stop Band Attenuation ΔV DD = 3.3V to 3.6V 61 db ΔV DD = 5.0V to 5.5V, 50Hz 67 db V IN = 2V, 10Ω, output to GND 65 ma V IN =0.1V, output short to V DD 65 ma SD Channel FHD Channel SD Channel FHD Channel SD Channel f = 27MHz db FHD Channel f =148MHz db dg 微分增益 Video input range 1V % dp 微分相位 Video input range 1V THD D/DT 总谐波失真 Group Delay Variation SD Channel f=1mhz, V OUT =1.4V PP FHD Channel f=10mhz, V OUT =1.4V PP 0.15 SD Channel f = 100kHz to 5MHz 5.4 FHD Channel f = 100kHz t0 60MHz 6.0 X TALK Channel Crosstalk f = 1MHz, V OUT =1.4V PP db SNR 信噪比 SD Channel f= 100kHz to 4.43MHz FHD Channel f= 100kHz to 60MHz 64 R OUT_AC 输出阻抗 f = 10MHz 0.5 Ω CLG Chroma-Luma-Gain (SD Channel) 400kHz to 3.58MHz and 4.43MHz db CLD Chroma-Luma-Delay (SD Channel) 400kHz to 3.58MHz and 4.43MHz 5 ns PEAK IORPORATED ~ 4 ~ MHz MHz % ns db

5 GROUP DELAY (ns) GROUP DELAY (ns) NORMALIZED GAIN (db) NORMALIZED GAIN (db) NORMALIZED GAIN (db) NORMALIZED GAIN (db) 典型工作特性曲线除非另有说明, 否则 V DD = 3.3V, R L = 150Ω 至 GND C L =15pF -0.1dB@7MHz 100k 1M 10M FREQUEY (Hz) 图 1. 小信号频率响应 (SD Channel) C L =15pF -0.1dB@50MHz 100k 1M 10M 100M FREQUEY (Hz) 图 2. 小信号频率响应 (FHD Channel) 5-5 C L =15pF C L =5pF C L =20p 100k 1M 10M FREQUEY (Hz) 图 3. C LOAD 变化下增益与频率的关系 (SD Channel) C L =15pF C L =20p C L =5pF 100k 1M 10M 100M FREQUEY (Hz) 图 4. C LOAD 变化下增益与频率的关系 (FHD Channel) C L =15pF 100k 1M 10M 100M FREQUEY (Hz) 图 5. 群延时与频率的关系 (SD Channel) C L =15pF 1M 10M 100M 1G FREQUEY (Hz) 图 6. 群延时与频率的关系 (FHD Channel) REV1.0 ~ 5 ~ PEAK IORPORATED

6 AMPLITUDE (V) AMPLITUDE (V) AMPLITUDE (V) AMPLITUDE (V) PSSR (db) PSSR (db) k 10k 100k 1M 10M 100M FREQUEY (Hz) 图 7. 电源电压抑制比 (PSRR) 与频率的关系 (SD) -80 1k 10k 100k 1M 10M 100M FREQUEY (Hz) 图 8. 电源电压抑制比 (PSRR) 与频率的关系 (FHD) V DD =+3.3V R L =100Ω V OUT =1V P-P V DD =+3.3V R L =100Ω V OUT =200mV P-P 0.5 t RISE =57.1ns t FALL =48.9ns 0.5 t RISE =35.8ns t FALL =33.3ns TIME (ns) TIME (ns) 图 9. 大信号阶跃响应瞬态特性 (SD Channel) 图 10. 小信号脉冲响应特性 (SD Channel) V DD =+3.3V R L =100Ω V OUT =1V P-P V DD =+3.3V R L =100Ω V OUT =200mV P-P 0.5 t RISE =7.75ns t FALL =7.41ns 0.5 t RISE =3.25ns t FALL =3.59ns TIME (ns) TIME (ns) 图 11. 大信号阶跃响应瞬态特性 (FHD Channel) 图 12. 小信号脉冲响应特性 (FHD Channel) PEAK IORPORATED ~ 6 ~

7 典型应用设计 STB / DVB / Blu-ray DVD V 0.1μF 4.7μF CVBS IN1 Clamp OUT1 75Ω 220μF 75Ω Video Cable CVBS RSOURCE R / Pr Bias 75Ω 220μF 75Ω Video Cable R / Pr Video SoC G / Y RSOURCE Clamp 75Ω 220μF 75Ω Video Cable G / Y RSOURCE B / Pb Bias 75Ω 220μF 75Ω Video Cable B / Pb RSOURCE DAC Load Resistor RSOURCE = DAC Load Resistor // SoC Output Resistor GND AC-coupling Output 参考设计电路 应用信息 是一款单电源 轨至轨输出 4 通道全高清视频放大器, 可同时支持复合视频 (CVBS) 和全高清分量视频 (Y'Pb'Pr'-1080p60), 其内部集成了同步头钳位电路,SD 通道典型的 -3dB 带宽为 9MHz,Full-HD 通道典型的 -3dB 带宽为 72MHz 该芯片非常适合用于需要具备低功耗 具有高性能视频表现的一路复合视频 (CVBS) 和三路分量全高清视频的应用 正如性能特征及特性所阐述的那样, 针对便携式视频应用进行了优化 内部同步钳位 采用先进的 CMOS 工艺, 其输入 输出信号的范围为 0~V DD ( 电源电压 ) 之间 由于该芯片内部集成了 6dB 增益 (X2) 的放大功能, 其输入信号必须在 0~V DD /2 之间 如果输入视频信号的直流电平不确定, 或者当某些视频 DAC 输出带有较大的 DC 电平时, 其电压幅度超出 输入电压范围, 为了实现电压匹配, 则通常需要使用一个 0.1uF 的电容来实现交流耦合 这时, 在 的输入端需要用钳位电路或偏置电路来进行直流电平恢复 另外, 典型的嵌入式视频 DAC 通常使用地作为其最低的电位, 这使得同步头电压最低可以到 0V 对于大多数单电源放大器来说,0V 输入信号将使得放大器输出级饱和, 这会让同步头信号被截断, 导致视频图像变差 具有内部同步钳位和直流电平移位功能, 该电平移位功能可以将整个视频信号在进入放大器的输入级之前偏置到最佳电平 这些特性还包括将信号设置于靠近最佳的电压范围, 以避免放大器的输出级饱和 第一页的简化框图显示了 同步钳位电路的基本工作原理 交流耦合视频同步信号被一个输入端的电流源拉向零电平 一旦同步头电位低于比较器的阈值 40mV, 比较器输出变成高电平, 通过钳位电路将输入信号拉起, 迫使电流流出耦合电容, 直到输入电压又回到 40mV, 钳位电路随之关闭 这会迫使同步头始终钳位在 40mV, 并为整个视频信号的提供偏置 一旦输入信号被钳位, 其直流电位会被抬高 80mV, 之后通过 2 倍增益放大器将信号放大 基线漂移及直流恢复 输入耦合电容值一般是根据系统的要求来选取 在典型的直流恢复应用中, 使用 NTSC 制式的视频水平 REV1.0 ~ 7 ~ PEAK IORPORATED

8 同步将导致 60μs 的保持时间 (64μs 行扫描时间减去 4μs 采样时间 ) 对于 Y 和 CVBS 通道, 视频放大器输入偏置电流典型值为 1.7μA, 如果耦合电容为 0.1μF, 则输出电压会每行漂移约 1mV 恢复放大器可以提供典型值为 2.0mA 的电流源对耦合电容充电, 因此对于 4μs 的采样时间, 输出电压可以每行被纠正 80mV 色度通道的漂移小于 1mV 每行 使用较小的电容会增大校正电压, 同时会在保持阶段增大信号衰减 同样, 使用一个较大的电容会减小对校正电压和信号衰减 表 1. 提供了充电校正电压和信号衰减的样本 表 1. CAP VALUE (nf) Y 和 CVBS 通道的充电存储电容值与校正电压和信号衰减的关系 DROOP IN 60μs (mv) , 低通滤波器 --Sallen Key CHARGE IN 4μs (mv) Sallen Key 是一种经典的低通滤波器结构 它提供了非常稳定的低通功能, 采用了优化的低通滤波器结构, 有六个极点 这六个极点由 3 个二阶的 Sallen Key 滤波器串联连接而成 输出耦合 电流条件下, 有可能超过 +150 C 的绝对最大结温 所以, 有必要根据应用来计算最大结温, 由此来确定负载条件或者封装类型是否需要进行修改, 以保证放大器工作在一定的安全区域内 根据以下的公式来确定封装允许的最大功耗 : TJMAX TAMAX PDMAX = JA 在此 : T JMAX = Maximum junction temperature T AMAX = Maximum ambient temperature Θ JA = Thermal resistance of the package IC 实际上产生的最大功耗为总静态电源电流乘以总供电电压再加上 IC 由于负载产生的功耗, 即 : VOUT PDMAX = Vs ISMAX + ( Vs VOUT) R 在此 : V S = 3.3V I SMAX = Maximum quiescent supply current V OUT = Maximum output voltage of the application R LOAD = Load resistance tied to ground 此外, 具备内部的短路保护电路 如果输出无限接近短路, 输出电流将不被允许超过 ± 65mA, 以使芯片功耗不会过大而造成其内部过热, 同时避免电流过大最终危及金属完整性 对于瞬态的短路, 产品也是安全的 在视频应用中, 可以使用 75Ω 的匹配电阻, 它会提供额外的短路保护, 同时应该尽量避免输出短路, 以免损坏器件 L 的输出端可以采用 交流耦合 或 直流耦合 如果采用 交流耦合, 推荐使用 220μF 的耦合电容, 以确保低频率信号能够通过, 防止视频跨线 倾斜 或 衰减 具备较大的驱动能力, 这使得它允许输出 直流耦合 到视频负载, 而无需任何的交流耦合电容, 以节省电路板空间和成本, 并消除输出信号的任何 倾斜 或 偏移 的可能 但这会需要较大的供应电流, 因为信号的直流分量将消耗在负载电阻中 交流耦合 时负载电流典型值为 1.0mA, 而 直流耦合 的典型负载电流则需要 6.6mA (1V, 150Ω) 电源旁路印刷电路板布局 与其他最新的运算放大器一样, 为了获得最佳性能, 需要对其进行良好的印刷电路板布局 : 引线长度应尽可能短, 电源引脚必须很好的旁路以减少振荡风险 对于一般性的单电源供电, 在 +V S 和 GND 间 并联放置 一个 4.7μF 的钽电容和一个 0.1μF 的陶瓷电容即可 输出驱动能力和功率损耗 具有很高的输出驱动能力, 输出电流可以高达 65mA, 因此允许驱动 2 路视频线路或允许驱动 75Ω 但是, 这种大电流驱动的应用需要在设计使用上特别被关注, 以保证芯片稳定工作在最大允许的结温下 因此, 在驱动 2 路视频线路 (75Ω 负载 ) 时, 应当特别关注最大结温的计算 在一定的负载 PEAK IORPORATED ~ 8 ~

9 相关产品选型指南 产品型号 产品描述 注解 TPF110 /TPF110L 超低功耗 具有关断功能及 SAG 校正的 1 通道六阶 9MHz 视频滤波驱动器 TPF110: 静态电流 3.9mA, THD 0.1% TPF110L: 静态电流 2mA, THD 0.2% TPF111 超便携 单通道 SD 视频滤波器 3V/5V, -3dB 带宽 9MHz 适用于 CVBS, 6dB 增益 TPF113 极低功耗 具有六阶 9MHz 低通滤波器和 6dB 增益的 3 通道 SD 视频缓冲器 3V/5V, 三个 SD 通道适用于 CVBS S-Video(YC) Y'Pb'Pr'-480i/576i Y'U'V' 或 RGB 视频信号 TPF114 具有六阶 9MHz 低通滤波器和 6dB 增益的 4 通道 SDTV 视频放大器, 支持 CVBS 复合视频和分量视频 3V/5V, 四个 SDTV 通道适用于 CVBS S-Video Y'Pb'Pr'-480i/576i Y'U'V' 或 RGB TPF116 具有六阶 9MHz 滤波器和 6dB 增益的 6 通道 SDTV 视频缓冲器, 支持 CVBS S- 视频和分量视频 3V/5V, 六个 SDTV 通道适用于 CVBS S-Video Y'Pb'Pr'-480i/576i Y'U'V' 或 RGB, 极低功耗 TPF121 低功耗 具有 13.5MHz 低通滤波器 单通道 960H/720H 视频线路驱动器 六阶 13.5MHz 低通滤波适合 960H/720H 或 Y'Pb'Pr'-480p/576p,6dB 增益, 支持 3V/5V TPF123 低功耗 具有 13.5MHz 低通滤波器 3 通道 960H/720H 视频线路驱动器 六阶 13.5MHz 低通滤波适合 960H/720H 或 Y'Pb'Pr'-480p/576p,6dB 增益, 支持 3V/5V TPF133 超低功耗 具有 36MHz 滤波器和 6dB 增益 3 通道 六阶 36MHz 滤波适合高清视频, 支持 3V/5V HD 视频滤波驱动器 TPF134 具有 1-SD 和 3-HD 滤波器和 6dB 增益的 4 通道视频滤波驱动器 一个 SD 通道用于 CVBS 复合视频, 三个 HD 通道用于 Y'Pb'Pr'-1080i/720p R'G'B' 或 VGA/SVGA/XGA TPF136 极低功耗 具有 3-SD 和 3-HD 六阶滤波器和 6dB 增益的 6 通道视频缓冲器 三个 SD 通道用于 CVBS YC 或 Y'Pb'Pr'-480i/576i, 三个 HD 通道用于 Y'Pb'Pr'-1080i/720p R'G'B'/VGA TPF143 超低功耗 6dB 增益 3 通道全高清 (1080p60) 视频滤波驱动器 支持 3V/5V, 六阶 72MHz 视频重构滤波器适合全高清 1080p60 TPF146 低功耗 具有 3-SD 和 3- 全高清 (1080p60) 六阶滤波器和 6dB 增益的 6 通道视频缓冲器 三个 SD 通道用于 CVBS, YC 或 Y'Pb'Pr'-480i/576i, 三个全高清通道用于 Y'Pb'Pr'-1080p60 R'G'B' TPF153 超低功耗 3 通道 6dB 增益视频缓冲器 Bypass 220MHz with 1,000 V/μs Slew Rate for Y'Pb'Pr' or R'G'B' signal buffering and driving REV1.0 ~ 9 ~ PEAK IORPORATED

10 封装信息 10 引脚 MSOP 封装 (EXPOSED PAD) 主体 3.00 mm [MSOP_N] 2X aaa c D Symbol Dimensions In Millimeters MIN TYP MAX A A A A b Ø 0.60mmX0.038DP SURFACE POLISHED Top View 10xb bbb 2X5 Tips C ddd D A-B D c D D1 1.80REF A A2 ccc C SEAING PLANE E E2 1.55REF A1 e D e 0.50BSC Side View L L1 0.95BSC θ 0-8 ϴ E1 End View L1 L C aaa 0.2 bbb 0.25 ccc 0.10 EXPOSED PAD ddd 0.08 D1 E2 Bottom View PEAK IORPORATED ~ 10 ~

11 A2 A 封装信息 14 引脚 TSSOP 封装 主体 4.40 mm [TSSOP_N] Dimensions Symbol In Millimeters MIN TYP MAX E E1 A A A b c D e D c E E e 0.65 BSC L L REF A1 L BSC R θ 0-8 R1 R L L1 L2 θ REV1.0 ~ 11 ~ PEAK IORPORATED

12 IMPORTANT NOTICE "PRELIMINARY" PRODUCT INFORMATION DESCRIBES PRODUCTS THAT ARE IN PRODUCTION, BUT FOR WHICH FULL CHARACTERIZATION DATA IS NOT YET AVAILABLE. 3PEAKIC MICROELECTRONICS CO. LTD BELIEVES THAT THE INFORMATION CONTAINED IN THIS DOCUMENT IS ACCURATE AND RELIABLE. HOWEVER, THE INFORMATION IS SUBJECT TO CHANGE WITHOUT NOTICE AND IS PROVIDED AS IS WITHOUT WARRANTY OF ANY KIND (EXPRESS OR IMPLIED). CUSTOMERS ARE ADVISED TO OBTAIN THE LATEST VERSION OF RELEVANT INFORMATION TO VERIFY, BEFORE PLACING ORDERS, THAT INFORMATION BEING RELIED ON IS CURRENT AND COMPLETE. ALL PRODUCTS ARE SOLD SUBJECT TO THE TERMS AND CONDITIONS OF SALE SUPPLIED AT THE TIME OF ORDER ACKNOWLEDGMENT, ILUDING THOSE PERTAINING TO WARRANTY, INDEMNIFICATION, AND LIMITATION OF LIABILITY. NO RESPONSIBILITY IS ASSUMED BY 3PEAKIC MICROELECTRONICS CO. LTD FOR THE USE OF THIS INFORMATION, ILUDING USE OF THIS INFORMATION AS THE BASIS FOR MANUFACTURE OR SALE OF ANY ITEMS, OR FOR INFRINGEMENT OF PATENTS OR OTHER RIGHTS OF THIRD PARTIES. THIS DOCUMENT IS THE PROPERTY OF 3PEAKIC MICROELECTRONICS CO. LTD AND BY FURNISHING THIS INFORMATION, 3PEAKIC MICROELECTRONICS CO. LTD GRANTS NO LICENSE, EXPRESS OR IMPLIED UNDER ANY PATENTS, MASK WORK RIGHTS, COPYRIGHTS, TRADEMARKS, TRADE SECRETS OR OTHER INTELLECTUAL PROPERTY RIGHTS. 3PEAKIC MICROELECTRONICS CO. LTD OWNS THE COPYRIGHTS ASSOCIATED WITH THE INFORMATION CONTAINED HEREIN AND GIVES CONSENT FOR COPIES TO BE MADE OF THE INFORMATION ONLY FOR USE WITHIN YOUR ORGANIZATION WITH RESPECT TO 3PEAKIC MICROELECTRONICS CO. LTD INTEGRATED CIRCUITS OR OTHER PRODUCTS OF 3PEAKIC MICROELECTRONICS CO. LTD. THIS CONSENT DOES NOT EXTEND TO OTHER COPYING SUCH AS COPYING FOR GENERAL DISTRIBUTION, ADVERTISING OR PROMOTIONAL PURPOSES, OR FOR CREATING ANY WORK FOR RESALE. CERTAIN APPLICATIONS USING SEMICONDUCTOR PRODUCTS MAY INVOLVE POTENTIAL RISKS OF DEATH, PERSONAL INJURY, OR SEVERE PROPERTY OR ENVIRONMENTAL DAMAGE ( CRITICAL APPLICATIONS ). 3PEAKIC MICROELECTRONICS CO. LTD PRODUCTS ARE NOT DESIGNED, AUTHORIZED OR WARRANTED FOR USE IN AIRCRAFT SYSTEMS, MILITARY APPLICATIONS, PRODUCTS SURGICALLY IMPLANTED INTO THE BODY, AUTOMOTIVE SAFETY OR SECURITY DEVICES, LIFE SUPPORT PRODUCTS OR OTHER CRITICAL APPLICATIONS. ILUSION OF 3PEAKIC MICROELECTRONICS CO. LTD PRODUCTS IN SUCH APPLICATIONS IS UNDERSTOOD TO BE FULLY AT THE CUSTOMER'S RISK AND ILUSION DISCLAIMS AND MAKES NO WARRANTY, EXPRESS, STATUTORY OR IMPLIED, ILUDING THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR PARTICULAR PURPOSE, WITH REGARD TO ANY DISCLAIMS PRODUCT THAT IS USED IN SUCH A MANNER. IF THE CUSTOMER OR CUSTOMER'S CUSTOMER USES OR PERMITS THE USE OF 3PEAKIC MICROELECTRONICS CO. LTD PRODUCTS IN CRITICAL APPLICATIONS, CUSTOMER AGREES, BY SUCH USE, TO FULLY INDEMNIFY 3PEAKIC MICROELECTRONICS CO. LTD, ITS OFFICERS, DIRECTORS, EMPLOYEES, DISTRIBUTORS AND OTHER AGENTS FROM ANY AND ALL LIABILITY, ILUDING ATTORNEYS' FEES AND COSTS, THAT MAY RESULT FROM OR ARISE IN CONNECTION WITH THESE USES. THE LOGO DESIGNS OF 3PEAKIC MICROELECTRONICS CO. LTD ARE TRADEMARKS OF DESIGNS. ALL OTHER BRAND AND PRODUCT NAMES IN THIS DOCUMENT MAY BE TRADEMARKS OR SERVICE MARKS OF THEIR RESPECTIVE OWNERS. Contact information: USA: 635 W. Alma School Road, Suite102 Chandler, USA. AZ Shanghai-China: Room No.1278 Keyuan Road, Zhangjiang High-tech Park, Pudong New District, Shanghai, China Zip Code: Suzhou-China: Suite 304, Building B2, Creative Industrial Park, No.328 Xinghu Street, Industrial Park, Suzhou, Jiangsu Province, China Zip Code: PEAK IORPORATED ~ 12 ~

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10

QQGQ2.E Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 - Power Supplies, Information Technology Equipment Including Ele... 1/10 QQGQ2.E232014 Power Supplies, Information Technology Equipment Including Electrical Business Equipment - Component

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

MAX3205Erev1.DS.C

MAX3205Erev1.DS.C 9-336; Rev ; 0/04 ±5kV ESD, (TVS), ESD HDTV PC ( DVI TM HDMI TM ) PC (FireWire USB 2.0) (PCI Express TM,InfiniBand ), ESD, ESD IEC 6000-4-2 ±5kV ±8kV ±5kV ESD TVS ESD, 2pF, 0.05pF, MAX3207 E, USB. USB

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Microsoft Word - SH090330.doc

Microsoft Word - SH090330.doc 2009 年 3 月 30 日 環 球 指 數 上 周 收 市 價 一 星 期 變 化 百 分 率 四 星 期 變 化 百 分 率 恆 生 指 數 14,119.50 +1285.99 +10.02% +1307.93 +10.21% 國 企 指 數 8,481.22 +985.26 +13.14% +1578.38 +22.87% 上 海 綜 合 指 數 2,374.44 +93.35 +4.09%

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

2

2 2 3 1 2 3 9 bk 8 7 4 5 6 bn bm bl 1 2 3 4 5 6 7 8 9 p bk bl bm bn bo bo bp bq bq bp 1 2 8 . 1 2 3 4 5 6 bs 7 br 8 bq 9 bp bk bo bn bm bl 1 2 3 4 5 6 7 8 9 cm cl ck bt bk bl bm bn bo bp bq br bs bt

More information

* * 2

* * 2 * * 2 3 4 6 p 1234567 bl bm bn bo bp bq bk 9 8 cl ck bt bs br 1 0 2 3 4 5 6 7 8 9 bk bl bm bn bo bp bq br bs p bt ck 8 2 4 6 cl cm cn co co cn cm 10 . co cn cm cl ck bt bs 1 2 34567 8 9 bk bl bm bn

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

Microsoft Word - A_Daily20160229

Microsoft Word - A_Daily20160229 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 2 月 29 日 星 期 一 (852)

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft Word - A_Daily20160329

Microsoft Word - A_Daily20160329 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 3 月 29 日 星 期 二 (852)

More information

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc 新 华 远 东 中 国 资 信 评 级 新 华 财 经 有 限 公 司 上 海 远 东 资 信 评 估 有 限 公 司 新 华 远 东 中 国 资 信 评 级 2003 年 电 子 邮 箱 评 级 总 监 联 系 电 话 rating@xfn.com 钟 汶 权 CFA 852-3102 3612 8621-5306-1122 目 的 新 华 财 经 有 限 公 司 与 上 海 远 东 资 信 评

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

Microsoft Word - A_Daily20151103

Microsoft Word - A_Daily20151103 陳 鳳 珠, Ellie Chan 高 曉 慶, Stanley Kao 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 日 評 - Shenwan Hongyuan A-Share Daily Notes ellie.chan@swhyhk.com stanley.kao@swhyhk.com 2015 年 11 月 3 日 星 期 二 (852) 2509-8431

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275])

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275]) 股 票 代 號 :1216 TT 2015 全 年 度 業 績 發 佈 (2016.4.11 更 新 ) Disclaimers The information contained in this presentation is intended solely for your personal reference. Such information is subject to change without

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

ATA2536T

ATA2536T ATA2536T 低 压 IR 接 收 器 ASSP DATASHEET 特 性 高 集 成 度 器 件 : 除 管 脚 二 极 管 外, 无 外 接 部 件 电 压 范 围 : 2.7V~5.5V 采 用 自 动 化 灵 敏 度 适 应 (AGC) 技 术 和 自 动 化 强 信 号 适 应 (ATC) 技 术, 具 有 极 高 的 灵 敏 度 采 用 电 源 电 压 自 适 应 技 术 强 大

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

Notebook & Tablet PC / MLCC Chip-R RF devices Products \ Application Function List

Notebook & Tablet PC / MLCC Chip-R RF devices Products \ Application Function List Notebook & Tablet PC 筆記型/平板電腦 www.passivecomponent.com 1 www.passivecomponent.com Notebook & Tablet PC / MLCC Chip-R RF devices Products \ Application Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation

深圳市鸿昊升电子有限公司 SHENZHEN HHS ELECTRONICS CO.,LTD. NO. 序号 Items 项目 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation NO. 1 2 3 4 5 6 Max. charge voltage 充电上限电压 Min. discharge voltage 放电终止电压 Max. continuation charge current 最大连续充电电流 units 单位 V s 参数 5V V 2.4V ma 1100mA Max continuous discharge current 最大连续放电电流 ma 1100mA

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc

LTB G4H6-A3 - Mag.Layers Scientific Technics Co., Ltd. - Iiic.Cc ofired eramics Series UUNG-G HNOOGY OF RF SOUION Feature 特点 低温共烧陶瓷 ompact Size 体积小 Miniaturized SM packaged in low profile and lightweight. ow oss 低 ow insertion loss, high attenuation. High Soldering

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

Rotary Switch Catalogue

Rotary Switch Catalogue Rotary Switches RS300/400/500 Series Outline Our RS series embody the manufacturing history of our company. All series are sturdy and solid with high dependability designed for control units of plants,

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

untitled

untitled 020-101789-04 Roadie 4K35 2016 Christie Digital Systems USA Inc. ISO 9001 14001 a. b. c. d. DVD e. internet f. g. / h. i. j. LCD LCD LCD 5 8 k. l. LCD m. / (i) / (ii) FCC 15 A CAN ICES-3 (A) / NMB-3 (A)

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

Microsoft Word - c1467602

Microsoft Word - c1467602 ICS 33.100.20-1- 中 國 國 家 標 準 CNS 電 磁 相 容 測 試 與 量 測 技 術 第 2 部 : 靜 電 放 電 免 疫 力 測 試 總 號 類 號 14676-2 C6424-2 Electromagnetic compatibility (EMC) - Testing and measurement techniques - Part 2 : Electrostatic

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

68 2012 11 the requirements of load - bearing rescue and turning of the large fire engine can be served as an outdoor safety area. 5 The second floor

68 2012 11 the requirements of load - bearing rescue and turning of the large fire engine can be served as an outdoor safety area. 5 The second floor 2012 11 11 170 JOURNAL OF RAILWAY ENGINEERING SOCIETY Nov 2012 NO. 11 Ser. 170 1006-2106 2012 11-0067 - 06 1 2 ( 1. 苏 州 设 计 研 究 院 股 份 有 限 公 司, 江 苏 苏 州 215021; 2. 苏 州 市 消 防 支 队, 江 苏 苏 州 215021) 1 2 3 4

More information

01CP-WX3030WNetc_CO_ENG.indd

01CP-WX3030WNetc_CO_ENG.indd Data Video Projector User s Manual (Concise) ModelS: 8928A/8930A/8931WA/ 8933W Information in this Guide may change due to product improvements. To obtain the latest manuals, literature, and software please

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

蓄电池维护指南新OK.PDF

蓄电池维护指南新OK.PDF DL/T 724-2000 Specification of operation and maintenance of battery DC power supply Equipment for electric power system ( ) GB/T 2900.11-1988 GB/T 2900.33-993 DL/T 459-2000 GB/T 2900.11 GB/T 2900.33 3.1

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

PDFᅲᆰᄏ커￷

PDFᅲᆰᄏ커￷ TM Technology, Inc. 文件名稱 :T8602 Demo board Test Report Customer: Model No: CF0049 FAE: Bill DATE: 2011-11-18 文件等級 一般級 機密級 極機密 Electronic Specification Item Requiring Min Typ Max Input 90Vac --- 265Vac

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information