MCU 芯片 W806 W806 MCU 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :

Size: px
Start display at page:

Download "MCU 芯片 W806 W806 MCU 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :"

Transcription

1 W806 MCU 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :

2 文档修改记录 版本修订时间修订记录作者审核 V /2/25 创建文档 Ray V /7/14 Add Wakeup PIN, Update PIN location Ray

3 目录 文档修改记录 概述 特征 芯片结构 功能描述 SDIO HOST 控制器 SDIO Device 控制器 高速 SPI 设备控制器 DMA 控制器 时钟与复位 内存管理器 FLASH 控制器 RSA 加密模块 通用硬件加密模块 I 2 C 控制器 主 / 从 SPI 控制器 UART 控制器 GPIO 控制器... 10

4 4.14 定时器 看门狗控制器 PWM 控制器 I²S 控制器 /UART 控制器 PSRAM 接口控制器 ADC 触摸按键控制器 管脚定义 电气特性 极限参数 封装信息... 18

5 1 概述 W806 芯片是一款安全 MCU 芯片 芯片集成 32 位 CPU 处理器, 内置 UART GPIO SPI SDIO I 2 C I 2 S PSRAM 7816 ADC LCD TouchSensor 等数字接口 ; 支持 TEE 安全引擎, 支持多种硬件加解密算 法, 内置 DSP 浮点运算单元与安全引擎, 支持代码安全权限设置, 内置 1MB Flash 存储器, 支持固件加 密存储 固件签名 安全调试 安全升级等多项安全措施, 保证产品安全特性 适用于小家电 玩具 工业 控制 医疗监护等领域 2 特征 芯片外观 QFN56 封装,6mm x 6mm MCU 特性 集成 32 位 XT804 处理器, 工作频率 240MHz, 内置 DSP 浮点运算单元与安全引擎 内置 1MB Flash,288KB RAM 集成 PSRAM 接口, 支持最高 64MB 外置 PSRAM 存储器 集成 6 路 UART 高速接口 集成 4 路 16 比特 ADC, 最高采样率 1KHz 集成 1 个高速 SPI 接口 ( 从接口 ), 支持最高 50MHz 集成一个主 / 从 SPI 接口 集成 1 个 SDIO_HOST 接口, 支持 SDIO2.0 SDHC MMC4.2 集成 1 个 SDIO_DEVICE, 支持 SDIO2.0, 最高吞吐率 200Mbps 集成 1 个 I 2 C 控制器 4

6 集成 GPIO 控制器, 最多支持 44 个 GPIO 集成 5 路 PWM 接口 集成 1 路 Duplex I 2 S 控制器 集成 LCD 控制器, 支持 4x32 接口 集成 1 个 7816 接口 集成 15 个 Touch Sensor 安全特性 MCU 内置 Tee 安全引擎, 代码可区分安全世界 / 非安全世界 集成 SASC/TIPC, 内存及内部模块 / 接口可配置安全属性, 防止非安全代码访问 启用固件签名机制, 实现安全 Boot/ 升级 具备固件加密功能, 增强代码安全 固件加密密钥使用非对称算法分发, 增强密钥安全性 硬件加密模块 :RC4256 AES128 DES/3DES SHA1/MD5 CRC RSA, 真随机数发 生器 低功耗模式 3.3V 单电源供电 支持工作 睡眠 待机 关机工作模式 待机功耗小于 10uA 5

7 3 芯片结构 图 3-1 W806 芯片框图 4 功能描述 4.1 SDIO HOST 控制器 SDIO HOST 设备控制器提供了一个能够访问安全数字输入输出卡 (SDIO) 以及 MMC 卡的数字接口 能够 访问兼容 SDIO 2.0 协议的 SDIO 设备和 SD 卡设备 主要接口有 CK,CMD 以及 4 根数据线 兼容 SD 卡规范 1.0/1.1/2.0(SDHC) 兼容 SDIO 内存卡规范 兼容 MMC 规范 2.0~4.2 6

8 可配置接口时钟速率, 支持主机速率 0~50MHz 支持标准 MMC 接口 支持最大 1024 字节的 Block 支持软复位功能 自动 Command/Response CRC 生成 / 校验 自动数据 CRC 生成 / 校验 可配置 timeout 检测 支持 SPI 1 比特 SD 和 4 比特 SD 模式 支持 DMA 数据传输 4.2 SDIO Device 控制器 SDIO2.0 设备端接口, 完成与主机数据的交互 内部集成 1024Byte 的异步 FIFO, 完成主机与芯片的数据 交互 兼容 SDIO 卡规范 2.0 支持主机速率 0~50MHz 支持最大 1024 字节的 Block 支持软复位功能 支持 SPI 1 比特 SD 和 4 比特 SD 模式 4.3 高速 SPI 设备控制器 兼容通用 SPI 物理层协议, 通过约定与主机交互的数据格式, 主机对设备的高速访问, 最高支持工作频率为 50Mbps 兼容通用 SPI 协议 7

9 可选择的电平中断信号 最高支持 50Mbps 速率 简单的帧格式, 全硬件解析与 DMA 4.4 DMA 控制器 最多支持 8 通道,16 个 DMA 请求源, 支持链表结构与寄存器控制 Amba2.0 标准总线接口,8 路 DMA 通道 支持基于存储器链表结构的 DMA 操作 软件配置 16 个硬件请求源 支持 1,4-burst 操作模式 支持 byte half-word,word 操作 源 目的地址不变或顺序递增可配置或在预定义地址范围内循环操作 同步 DMA 请求和 DMA 响应硬件接口时序 4.5 时钟与复位 支持芯片时钟和复位系统的控制, 时钟控制包括时钟变频, 时钟关断以及自适应门控 ; 复位控制包括系统以 及子模块的软复位控制 4.6 内存管理器 支持发送接收缓存大小的配置, 以及 MAC 访问缓存的基址, 缓存个数, 帧聚合上限等控制信息 4.7 FLASH 控制器 提供总线访问 FLASH 接口 提供系统总线和数据总线访问仲裁 实现 CACHE 缓存系统提高 FLASH 接口访问速度 提供对不同 QFlash 的兼容性 8

10 4.8 RSA 加密模块 RSA 运算硬件协处理器, 提供 Montgomery(FIOS 算法 ) 模乘运算功能 配合 RSA 软件库实现 RSA 算法 支持 128 位到 2048 位模乘 4.9 通用硬件加密模块 加密模块自动完成指定长度的源地址空间数据的加密, 完成后自动将加密数据回写到指定的目的地址空间 ; 支持 SHA1/MD5/RC4/DES/3DES/AES/CRC/TRNG 支持 SHA1/MD5/RC4/DES/3DES/AES/CRC/TRNG 加密算法 DES/3DES 支持 ECB 和 CBC 两种模式 AES 支持 ECB CBC 和 CTR 三种模式 CRC 支持 CRC8 CRC16_MODBUS CRC16_CCITT 和 CRC32 四种模式 CRC 支持输入 / 输出反向 SHA1/MD5/CRC 支持连续多包加密 内置真随机数发生器, 也支持 seed 种子产生伪随机数 4.10 I 2 C 控制器 APB 总线协议标准接口, 只支持主设备控制器,I²C 工作频率支持可配,100K 400K 4.11 主 / 从 SPI 控制器 支持同步的 SPI 主从功能 其工作时钟为系统内部总线时钟 其特点如下 : 发送和接收通路各有 8 个字深度的 FIFO master 支持 Motorola SPI 的 4 种格式 (CPOL,CPHA), TI 时序,macrowire 时 slave 支持支持 Motorola SPI 的 4 种格式 (CPOL,CPHA); 9

11 支持全双工和半双工 主设备支持 bit 传输, 最大支持 65535bit 传输 从设备支持各种长度 byte 的传输模式 从设备输入的 SPI_Clk 最大时钟频率为系统时钟的 1/ UART 控制器 设备端符合 APB 总线接口协议 支持中断或轮询工作方式 支持 DMA 传输模式, 发送接收各存在 32-byte FIFO 波特率可编程 5-8bit 数据长度, 以及 parity 极性可配置 1 或 2 个 stop 位可配置 支持 RTS/CTS 流控 支持 Break 帧发送与接收 Overrun,parity error,frame error,rx break frame 中断指示 最大 16-burst byte DMA 操作 4.13 GPIO 控制器 可配置的 GPIO 软件控制的输入输出 硬件控制的输入输出 可配置中断方式 GPIOA 和 GPIOB 寄存器起始地址不同, 但是功能一致 4.14 定时器 微秒与毫秒计时 ( 据时钟频率配置计数个数 ), 实现六个可配置的 32 位计数器, 当相应计算器配置的计数完 成时, 产生相应中断 10

12 4.15 看门狗控制器 支持 看门狗 功能 观察软件形为的正确性及允许系统崩溃后进行全局复位 看门狗 产生一个周期性 的中断, 系统软件必须响应这个中断, 并清除中断标志 ; 若由于系统崩溃中断标志很长时间没有被清除, 则 产生一个硬复位进行系统的全局复位 4.16 PWM 控制器 5 通道 PWM 信号生成功能 2 通道输入信号捕获功能 (PWM0 和 PWM4 两个通路 ) 频率范围 :3Hz~160KHz 占空比最大精度 :1/256, 插入死区的计数器宽度 :8bit 4.17 I²S 控制器 支持 AMBA APB 总线接口,32bit single 读写操作 支持主, 从模式, 可以双工工作 支持 8/16/24/32 位宽, 最高采样频率为 128KHz 支持单声道和立体声模式 兼容 I²S 和 MSB justified 数据格式, 兼容 PCM A/B 格式 支持 DMA 请求读写操作 只支持按字操作 /UART 控制器 设备端符合 APB 总线接口协议 支持中断或轮询工作方式 支持 DMA 传输模式, 发送接收各存在 32-byte FIFO DMA 只能按字节进行操作, 最大 16-burst byte DMA 操作 11

13 兼容 UART 以及 7816 接口功能 : 串口功能 : 波特率可编程 5-8bit 数据长度, 以及 parity 极性可配置 1 或 2 个 stop 位可配置 支持 RTS/CTS 流控 支持 Break 帧发送与接收 Overrun,parity error,frame error,rx break frame 中断指示 7816 接口功能 : 兼容 ISO T=0.T=1 模式 兼容 EVM2000 协议 可配置 guard time(11 ETU-267 ETU) 正向 / 反向约定可软件配置 支持发送 / 接收奇偶校验及重传功能 支持 0.5 和 1.5 停止位配置 4.19 PSRAM 接口控制器 W806 内置 SPI/QSPI 接口的 PSRAM 控制器, 支持外置 PSRAM 设备访问, 提供总线方式的 PSRAM 读写擦 操作 最高读写速度 80MHz 支持对外置 PSRAM 的读写访问 可配置为 SPI 和 QSPI SPI/QSPI 时钟频率可配置 支持 BURST INC 模式访问 12

14 支持 PSRAM 的半休眠模式 4.20 ADC 基于 Sigma-Delta ADC 的采集模块, 完成最多 4 路模拟信号的采集, 采样率通过外部输入时钟控制, 可采 集输入电压, 也可采集芯片温度, 支持输入校准和温度补偿校准 4.21 触摸按键控制器 模块基本功能如下 : 支持最多 15 路 Touch Sensor 扫描 记录每路 Touch Sensor 扫描结果 通过中断上报扫描结果 13

15 5 管脚定义 图 6-1 管脚布局图 (QFN56) 14

16 表 6-1 管脚分配定义 (QFN56) 编号 名称 类型 复位后管脚功能 复用功能 上下拉能力 1 PB_18 I/O GPIO, 输入, 高阻 UART5_TX/LCD_SEG30 UP/DOWN 2 PB_26 I/O GPIO, 输入, 高阻 LSPI_MOSI/PWM4/LCD_SEG1 UP/DOWN 3 PB_25 I/O GPIO, 输入, 高阻 LSPI_MISO/PWM3/LCD_COM0 UP/DOWN 4 PB_24 I/O GPIO, 输入, 高阻 LSPI_CK/PWM2/LCD_SEG2 UP/DOWN 5 PB_22 I/O GPIO, 输入, 高阻 UART0_CTS/PCM_CK/LCD_COM2 UP/DOWN 6 PB_21 I/O GPIO, 输入, 高阻 UART0_RTS/PCM_SYNC/LCD_COM1 UP/DOWN 7 PB_20 I/O UART_RX UART0_RX/PWM1/UART1_CTS/I2C_SCL UP/DOWN 8 PB_19 I/O UART_TX UART0_TX/PWM0/UART1_RTS/I2C_SDA UP/DOWN 9 WAKEUP I WAKEUP 唤醒功能 DOWN 10 RESET I RESET 复位 UP 11 XTAL_OUT O 外部晶振输出 12 XTAL_IN I 外部晶振输入 13 VDD33 P 芯片电源,3.3V 14 NC 15 VDD33 P 芯片电源,3.3V 16 VDD33 P 芯片电源,3.3V 17 VDD33 P 芯片电源,3.3V 18 BOOTMODE I/O BOOTMODE I2S_MCLK/LSPI_CS/PWM2/I2S_DO UP/DOWN 19 PA_1 I/O JTAG_CK JTAG_CK/I2C_SCL/PWM3/I2S_LRCK/ADC_1 UP/DOWN 20 PA_2 I/O GPIO, 输入, 高阻 UART1_RTS/UART2_TX/PWM0/UART3_RTS/ADC_4 UP/DOWN 21 PA_3 I/O GPIO, 输入, 高阻 UART1_CTS/UART2_RX/PWM1/UART3_CTS/ADC_3 UP/DOWN 22 PA_4 I/O JTAG_SWO JTAG_SWO/I2C_SDA/PWM4/I2S_BCK/ADC_2 UP/DOWN 23 PA_5 I/O GPIO, 输入, 高阻 UART3_TX/UART2_RTS/PWM_BREAK/UART4_RTS UP/DOWN 24 PA_6 I/O GPIO, 输入, 高阻 UART3_RX/UART2_CTS/NULL/UART4_CTS/LCD_SEG31 UP/DOWN 25 PA_7 I/O GPIO, 输入, 高阻 PWM4/LSPI_MOSI/I2S_MCK/I2S_DI/LCD_SEG3/Touch_1 UP/DOWN 26 PA_8 I/O GPIO, 输入, 高阻 PWM_BREAK/UART4_TX/UART5_TX/I2S_BCLK/LCD_SEG4 UP/DOWN 27 PA_9 I/O GPIO, 输入, 高阻 MMC_CLK/UART4_RX/UART5_RX/I2S_LRCLK/LCD_SEG5/TOUCH_2 UP/DOWN 28 PA_10 I/O GPIO, 输入, 高阻 MMC_CMD/UART4_RTS/PWM0/I2S_DO/LCD_SEG6/TOUCH_3 UP/DOWN 29 VDD33 P 芯片电源,3.3V 30 PA_11 I/O GPIO, 输入, 高阻 MMC_DAT0/UART4_CTS/PWM1/I2S_DI/LCD_SEG7 UP/DOWN 31 PA_12 I/O GPIO, 输入, 高阻 MMC_DAT1/UART5_TX/PWM2/LCD_SEG8/TOUCH_14 UP/DOWN 32 PA_13 I/O GPIO, 输入, 高阻 MMC_DAT2/UART5_RX/PWM3/LCD_SEG9 UP/DOWN 15

17 33 PA_14 I/O GPIO, 输入, 高阻 MMC_DAT3/UART5_CTS/PWM4/LCD_SEG10/TOUCH_15 UP/DOWN 34 PA_15 I/O GPIO, 输入, 高阻 PSRAM_CK/UART5_RTS/PWM_BREAK/LCD_SEG11 UP/DOWN 35 PB_0 I/O GPIO, 输入, 高阻 PWM0/LSPI_MISO/UART3_TX/PSRAM_CK/LCD_SEG12/Touch_4 UP/DOWN 36 PB_1 I/O GPIO, 输入, 高阻 PWM1/LSPI_CK/UART3_RX/PSRAM_CS/LCD_SEG13/Touch_5 UP/DOWN 37 PB_2 I/O GPIO, 输入, 高阻 PWM2/LSPI_CK/UART2_TX/PSRAM_D0/LCD_SEG14/Touch_6 UP/DOWN 38 PB_3 I/O GPIO, 输入, 高阻 PWM3/LSPI_MISO/UART2_RX/PSRAM_D1/LCD_SEG15/Touch_7 UP/DOWN 39 PB_27 I/O GPIO, 输入, 高阻 PSRAM_CS/UART0_TX/LCD_COM3 UP/DOWN 40 PB_4 I/O GPIO, 输入, 高阻 LSPI_CS/UART2_RTS/UART4_TX/PSRAM_D2/LCD_SEG16/Touch_8 UP/DOWN 41 PB_5 I/O GPIO, 输入, 高阻 LSPI_MOSI/UART2_CTS/UART4_RX/PSARM_D3/LCD_SEG17/Touch_ 9 UP/DOWN 42 VDD33 P 芯片电源,3.3V 43 CAP I 外接电容,1µF - 44 PB_6 I/O GPIO, 输入, 高阻 UART1_TX/MMC_CLK/HSPI_CK/SDIO_CK/LCD_SEG18/Touch_10 UP/DOWN 45 PB_7 I/O GPIO, 输入, 高阻 UART1_RX/MMC_CMD/HSPI_INT/SDIO_CMD/LCD_SEG19/Touch_11 UP/DOWN 46 PB_8 I/O GPIO, 输入, 高阻 I2S_BCK/MMC_D0/PWM_BREAK/SDIO_D0/LCD_SEG20/Touch_12 UP/DOWN 47 PB_9 I/O GPIO, 输入, 高阻 I2S_LRCK/MMC_D1/HSPI_CS/SDIO_D1/LCD_SEG21/Touch_13 UP/DOWN 48 PB_12 I/O GPIO, 输入, 高阻 HSPI_CK/PWM0/UART5_CTS/I2S_BCLK/LCD_SEG24 UP/DOWN 49 PB_13 I/O GPIO, 输入, 高阻 HSPI_INT/PWM1/UART5_RTS/I2S_LRCLK/LCD_SEG25 UP/DOWN 50 PB_14 I/O GPIO, 输入, 高阻 HSPI_CS/PWM2/LSPI_CS/I2S_DO/LCD_SEG26 UP/DOWN 51 PB_15 I/O GPIO, 输入, 高阻 HSPI_DI/PWM3/LSPI_CK/I2S_DI/LCD_SEG27 UP/DOWN 52 PB_10 I/O GPIO, 输入, 高阻 I2S_DI/MMC_D2/HSPI_DI/SDIO_D2/LCD_SEG22 UP/DOWN 53 VDD33 P 芯片电源,3.3V 54 PB_11 I/O GPIO, 输入, 高阻 I2S_DO/MMC_D3/HSPI_DO/SDIO_D3/LCD_SEG23 UP/DOWN 55 PB_16 I/O GPIO, 输入, 高阻 HSPI_DO/PWM4/LSPI_MISO/UART1_RX/LCD_SEG28 UP/DOWN 56 PB_17 I/O GPIO, 输入, 高阻 UART5_RX/PWM_BREAK/LSPI_MOSI/I2S_MCLK/LCD_SEG29 UP/DOWN 注 :1. I = 输入,O = 输出,P = 电源 16

18 6 电气特性 6.1 极限参数 表 7-1 极限参数 参数名称最小值典型值最大值单位 供电电压 VDD V 输入逻辑电平低 V IL V 输入逻辑电平高 V IH 2.0 VDD+0.3 V 输入引脚电容 C pad 2 pf 输出逻辑电平低 V OL 0.4 V 输出逻辑电平高 V OH 2.4 V 输出最大驱动能力 I MAX 24 ma 存储温度范围 T STR 工作温度范围 T OPR

19 7 封装信息 图 8-1 W806 封装参数 表 8-1 W806 封装参数表 SYMBOL MILLIMETER MIN NOM MAX A A b b1 0.12REF 18

20 c D D e 0.35BSC Ne 4.55BSC Nd 4.55BSC E E L h L/F 载体尺寸 193x193 19

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

PowerPoint Presentation

PowerPoint Presentation DALI 介绍 Digital Addressable Lighting Interface Mar 2017 DALI DALI: 数字地址化照明接口 (Digital Addressable Lighting Interface) 2 System structure example 3 DALI 2 the Standard IEC-62386 命令 DALI 协议定义双字节指令集, 指令分为标准指令和专用指令两大类

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

EFM8BB Microcontroller Family Highest Performance Value MCUs

EFM8BB Microcontroller Family  Highest Performance Value MCUs 独特解决方案满足低功耗应用 Alan Pang 2016-Aug-24 为什么低功耗很重要? 环保 更好的用户体验 节约成本 在某些应用更换电池不切实际 3 低功耗要求 简单的电池供电设备 加密设备 穿戴装置 4 总线供电的网络设备 工业传感器 / 监控器 EFM32 Pearl & Jade Gecko 处理器和内存 Cortex-M4 with FPU or Cortex-M3 Up to 40

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

S3C

S3C S3C2440A 32- 位 CMOS 微型控制器用户手册修订版本 1 第一章产品概述 -------- 马志晶译 1 目录 第一章产品概述...1-3 特性...1-3 内部结构图......1-7 管脚分配...1-9 信号说明...1-22 s3c2440a 特殊寄存器......1-27 2 1 产品概述引言 三星公司推出的 16/32 位 RISC 微处理器 S3C2440A, 为手持设备和一般类型应用提供了低价格

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

USR-N510-spec.doc

USR-N510-spec.doc 有人物联网 --TCP 服务器系列 USR-N510 规格书 V1.0 济南有人物联网技术有限公司 有人在认真做事! 产品特性 全新 ARM 内核, 工业级工作温度范围, 精心优化的 TCP/IP 协议栈支持双 Sokcet 模式支持虚拟串口工作方式, 提供相应软件 (USR-VCOM) 支持静态 IP 地址或者 DHCP 自动获取 IP 地址, 并可以通过 UDP 广播协议查询网络内的设备支持 Modbus

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

FZUBRIDGE

FZUBRIDGE 1 2 3 5 8 9 10 11 12 13 14 15 16 17 19 20 21 23 24 25 29 31 32 33 34 M g1 M 1g ( M 2g M 1g )(1 e ( t, ) ) 35 36 M Q M Q g g 1.15M 1.05Q p p 37 max 1 n e max n i1 1 2 i 38 39 n max M Q M Q g g

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

SAPIDO GR-1733 無線寬頻分享器

SAPIDO GR-1733 無線寬頻分享器 1 版 權 聲 明... 4 產 品 保 固 說 明... 4 保 固 期 限... 4 維 修 辦 法... 5 服 務 條 款... 5 注 意 事 項... 6 低 功 率 電 波 輻 射 性 電 機 管 理 辦 法... 6 CE 標 誌 聲 明... 6 無 線 功 能 注 意 事 項... 6 1 產 品 特 點 介 紹... 7 1.1 LED 指 示 燈 功 能 說 明... 8 1.2

More information

X523_Book.book

X523_Book.book USB TFT +/- / / 待机屏 SIM R * ; 捷径菜单 1 >>> 2, 按键 (, ) / / / L 1 图标与符号 图标描述功能 Wap Wap push ( ) GSM GPRS GSM GPRS 2 ...........................4.............................. 4 Micro SD ( )................

More information

sl sl

sl sl SL SL 21 2006 SL 21 90 2006-09-09 2006-10-01 1 2004 9 sl21-90 90 90 90 90 20 90 4 6 3 sl21-90 2 3 1 1 2 2 2.1... 2 2.2... 2 2.3... 3 2.4...3 3 5 3.1... 5 3.2... 5 4 7 4.1... 7 4.2... 7 4.3... 7 4.4...

More information

移动数字证书Linux版用户手册(版本:F-2.0;适用硬件:F1、F2)

移动数字证书Linux版用户手册(版本:F-2.0;适用硬件:F1、F2) 移 动 数 字 证 书 Linux 版 用 户 手 册 版 本 :F-2.0 适 用 硬 件 :F1 F2 深 圳 证 券 数 字 证 书 认 证 中 心 http://ca.szse.cn 目 录 1. 物 品 清 单... 2 2. 软 件 安 装... 2 2.1 适 用 平 台... 2 2.2 注 意 事 项... 2 2.3 安 装 过 程... 3 3. 证 书 使 用... 4 3.1

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

untitled

untitled 2014 1 2014 2 2014 4 2014 6 2014 7 2014 7 2014 8 2014 9 2014 10 2014 11 12 13 2014 14 17 24 34 1. 35 2014 1 2014 2014 6 20 6 21 1430 1600 1600 1630 1630 1800 1630 1800 1600 1800 700 800 800 910 910 1020

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information