安全 IoT Wi-Fi/ 蓝牙 SoC W800 W800 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :

Size: px
Start display at page:

Download "安全 IoT Wi-Fi/ 蓝牙 SoC W800 W800 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :"

Transcription

1 W800 芯片规格书 V2.0 北京联盛德微电子有限责任公司 (Winner Micro) 地址 : 北京市海淀区阜成路 67 号银都大厦 18 层 电话 : 网址 :

2 文档修改记录 版本修订时间修订记录作者审核 V /9/25 创建文档 Ray V /3/24 更新信息 Chengsheng V /5/9 更新参数信息 Ray V /5/15 更新蓝牙参数 Ray V /5/28 更新规格数据 Ray V /8/10 更新版本号 Ray V /8/29 新增功能模组 Ray

3 目录 文档修改记录 概述 特征 芯片结构 地址空间划分 功能描述 SDIO HOST 控制器 SDIO Device 控制器 高速 SPI 设备控制器 DMA 控制器 时钟与复位 内存管理器 数字基带 MAC 控制器 安全系统 FLASH 控制器 RSA 加密模块 通用硬件加密模块... 14

4 5.13 I 2 C 控制器 主 / 从 SPI 控制器 UART 控制器 GPIO 控制器 定时器 看门狗控制器 射频配置器 射频收发器 PWM 控制器 I²S 控制器 /UART 控制器 PSRAM 接口控制器 触摸按键控制器 管脚定义 电气特性 极限参数 射频功耗参数 Wi-Fi 射频 蓝牙射频... 26

5 7.4.1 传统蓝牙射频 低功耗蓝牙射频 封装信息... 31

6 1 概述 W800 芯片是一款安全 IoT Wi-Fi/ 蓝牙双模 SoC 芯片 支持 2.4G IEEE802.11b/g/n Wi-Fi 通讯协议 ; 支持 BT/BLE 双模工作模式, 支持 BT/BLE4.2 协议 芯片集成 32 位 CPU 处理器, 内置 UART GPIO SPI SDIO I 2 C I 2 S 7816 ADC TouchSensor 等数字接口 ; 支持 TEE 安全引擎, 支持多种硬件加解密 算法, 内置 DSP 浮点运算单元与安全引擎, 支持代码安全权限设置, 内置 2MBFlash 存储器, 支持固件加 密存储 固件签名 安全调试 安全升级等多项安全措施, 保证产品安全特性 适用于用于智能家电 智能 家居 智能玩具 无线音视频 工业控制 医疗监护等广泛的物联网领域 2 特征 芯片外观 QFN32 封装,4mm x 4mm MCU 特性 集成 32 位 XT804 处理器, 工作频率 240MHz, 内置 DSP 浮点运算单元与安全引擎 内置 2MB Flash,288KB RAM 集成 PSRAM 接口, 支持最高 64MB 外置 PSRAM 存储器 集成 5 路 UART 高速接口 集成 2 路 16 比特 ADC, 最高采样率 1KHz 集成 1 个高速 SPI 接口, 支持最高 50MHz 集成 1 个 SDIO_HOST 接口, 支持 SDIO2.0 SDHC MMC4.2 集成 1 个 SDIO_DEVICE, 支持 SDIO2.0, 最高吞吐率 200Mbps 集成 1 个 I 2 C 控制器 4

7 集成 GPIO 控制器, 最多支持 18 个 GPIO 集成 5 路 PWM 接口 集成 1 路 Duplex I 2 S 控制器 集成 11 个 Touch Sensor 安全特性 MCU 内置 Tee 安全引擎, 代码可区分安全世界 / 非安全世界 集成 SASC/TIPC, 内存及内部模块 / 接口可配置安全属性, 防止非安全代码访问 启用固件签名机制, 实现安全 Boot/ 升级 具备固件加密功能, 增强代码安全 固件加密密钥使用非对称算法分发, 增强密钥安全性 硬件加密模块 :RC4256 AES128 DES/3DES SHA1/MD5 CRC RSA, 真随机数发 生器 Wi-Fi 特性 支持 GB ,IEEE b/g/n 支持 Wi-Fi WMM/WMM-PS/WPA/WPA2/WPS 支持 EDCA 信道接入方式 支持 20/40M 带宽工作模式 支持 STBC GreenField Short-GI 支持反向传输 支持 AMPDU AMSDU 支持 IEEE802.11n MCS 0~7 MCS32 物理层传输速率档位, 传输速率最高到 150Mbps 2/5.5/11Mbps 速率发送时支持 Short Preamble 支持 HT-immediate Compressed Block Ack Normal Ack No Ack 应答方式 5

8 支持 CTS to self 支持 Station Soft-AP Soft-AP/Station 功能 蓝牙特性 集成蓝牙基带处理器 / 协议处理器, 支持 BT/BLE 双模工作模式, 支持 BT/BLE4.2 协议 低功耗模式 3.3V 单电源供电 支持 Wi-Fi 节能模式功耗管理 支持工作 睡眠 待机 关机工作模式 待机功耗小于 10uA 3 芯片结构 图 3-1 W800 芯片结构图 6

9 4 地址空间划分 0X5FFF FFFF 0X X3FFF FFFF 0X X1FFF FFFF 0X M-Byte Block 2 Peripherals 512M-Byte Block 1 SRAM 512M-Byte Block 0 Code 图 4-1 地址空间映射 表 4-1 总线设备地址空间详细划分 总线从设备 BootMode=0 地址空间细分备注 ROM 0x ~ 0x0004 存放固化的固件代码 FFFF FLASH 0x ~ 0x0FFF FFFF 作为专用的指令存储器 SRAM 0x ~ 0x2002 固件内存和指令存储区 7FFF Mac RAM 0x ~ 0x2004 SDIO/H-SPI/UART 数据缓存 7FFF PSRAM 0x ~ 外设内存 0x

10 CONFIG 0x ~ 0x4000 0x ~ 0x FF RSA 配置空间 2FFF 0x ~ 0x FF GPSEC 配置空间 0x ~ 0x FF DMA 配置空间 0x4000 0A00 ~ 0x4000 0CFF SDIO_HOST 配置空间 0x4000 0D00 ~ 0x4000 PMU 配置空间 0DFF 0x4000 0E00 ~ 0x4000 0EFF Clock 与 Reset 配置空间 0x4000 0F00 ~ 0x4000 0FFF MacPHY Router 配置空间 0x ~ 0x FF BBP 配置空间 0x ~ 0x FF MAC 配置空间 0x ~ 0x4000 1FFF SEC 配置空间 0x ~ 0x FF FLASH Controller 配置空间 0x ~ 0x FF PSRAM_CTRL 配置空间 0x ~ 0x FF SDIO Slave 配置空间 0x ~ 0x FF H-SPI 配置空间 0x ~ 0x FF SD Wrapper 配置空间 0x4000 2A00 ~ 0x4000 A9FF BT Core 配置空间 0x4000 B000 ~ 0x4000 B0FF SASC-B1 一级总线内存安全 配置模块 0x4000 B100 ~ 0x4000 B1FF SASC-Flash Flash 安全配置 模块 0x4000 B200 ~ 0x4000 B2FF SASC-B2 二级总线内存安全 8

11 配置模块 APB 0x ~ 0x4001 0x ~ 0x FF I 2 C master C000 0x ~ 0x FF Sigma ADC 0x ~ 0x FF SPI master 0x ~ 0x FF UART0 0x ~ 0x FF UART1 0x4001 0A00 ~ 0x4001 0BFF UART2 0x4001 0C00 ~ 0x4001 0DFF UART3 0x4001 0E00 ~ 0x4001 0FFF UART4 0x ~ 0x FF 0x ~ 0x FF GPIO-A 0x ~ 0x FF GPIO-B 0x ~ 0x FF WatchDog 0x ~ 0x FF Timer 0x4001 1A00 ~ 0x4001 1BFF RF_Controller 0x4001 1C00 ~ 0x4001 1DFF 0x4001 1E00 ~ 0x4001 1FFF PWM 0x ~ 0x FF I²S 0x ~ 0x FF BT-modem 0x ~ 0x FF Touch Sensor 0x ~ 0x FF TIPC Interface 安全设置 0x ~ 0x4000 BFFF RF_BIST DAC 发射内存 9

12 0x4001 C000 ~ 0x4003 BFFF RF_BIST ADC 接收内存 0x4001 3C00 ~ 0x5FFF FFFF RSV 5 功能描述 5.1 SDIO HOST 控制器 SDIO HOST 设备控制器提供了一个能够访问安全数字输入输出卡 (SDIO) 以及 MMC 卡的数字接口 能够 访问兼容 SDIO 2.0 协议的 SDIO 设备和 SD 卡设备 主要接口有 CK,CMD 以及 4 根数据线 兼容 SD 卡规范 1.0/1.1/2.0(SDHC) 兼容 SDIO 内存卡规范 兼容 MMC 规范 2.0~4.2 可配置接口时钟速率, 支持主机速率 0~50MHz 支持标准 MMC 接口 支持最大 1024 字节的 Block 支持软复位功能 自动 Command/Response CRC 生成 / 校验 自动数据 CRC 生成 / 校验 可配置 timeout 检测 支持 SPI 1 比特 SD 和 4 比特 SD 模式 支持 DMA 数据传输 10

13 5.2 SDIO Device 控制器 SDIO2.0 设备端接口, 完成与主机数据的交互 内部集成 1024Byte 的异步 FIFO, 完成主机与芯片的数据 交互 兼容 SDIO 卡规范 2.0 支持主机速率 0~50MHz 支持最大 1024 字节的 Block 支持软复位功能 支持 SPI 1 比特 SD 和 4 比特 SD 模式 5.3 高速 SPI 设备控制器 兼容通用 SPI 物理层协议, 通过约定与主机交互的数据格式, 主机对设备的高速访问, 最高支持工作频率为 50Mbps 兼容通用 SPI 协议 可选择的电平中断信号 最高支持 50Mbps 速率 简单的帧格式, 全硬件解析与 DMA 5.4 DMA 控制器 最多支持 8 通道,16 个 DMA 请求源, 支持链表结构与寄存器控制 Amba2.0 标准总线接口,8 路 DMA 通道 支持基于存储器链表结构的 DMA 操作 软件配置 16 个硬件请求源 支持 1,4-burst 操作模式 11

14 支持 byte half-word,word 操作 源 目的地址不变或顺序递增可配置或在预定义地址范围内循环操作 同步 DMA 请求和 DMA 响应硬件接口时序 5.5 时钟与复位 支持芯片时钟和复位系统的控制, 时钟控制包括时钟变频, 时钟关断以及自适应门控 ; 复位控制包括系统以 及子模块的软复位控制 5.6 内存管理器 支持发送接收缓存大小的配置, 以及 MAC 访问缓存的基址, 缓存个数, 帧聚合上限等控制信息 5.7 数字基带 支持 IEEE802.11a/b/g/e/n(1T1R) 发射和接收机算法实现, 主要参数 : 数据速率 :1~54Mpbs(802.11a/b/g), 6.5~150Mbps(802.11n) MCS 格式 :MCS0~MCS7,MCS32(40MHz HT Duplicate 模式 ) 支持 40MHz 带宽 non-ht Duplicate 模式,6M~54M 信号带宽 :20MHz, 40MHz 调制方式 :DSSS(DBPSK,DQPSK,CCK) 和 OFDM(BPSK,QPSK,16QAM,64QAM) 实现 1T1R 的 MIMO-OFDM spatial multiplexing 支持 Short GI 模式 支持 legacy 模式与 Mixed 模式 支持 40MHz 带宽下对 20M 上下边带信号的发射接收 支持 MCS0~7 32 的 STBC 接收 支持 Green Field 模式 12

15 5.8 MAC 控制器 支持 IEEE802.11a/b/g/e/n MAC 子层的协议控制, 具体规格包括 : 支持 EDCA 信道接入方式 支持 CSMA/CA,NAV 与 TXOP 保护机制 Beacon Mng VO VI BE BK 五路发送队列与 QoS 支持单 广组波帧接收发送 支持 RTS/CTS,CTS2SELF,Normal ACK,No ACK 帧序列 支持重传机制以及重传速率和功率控制 支持 MPDU 硬件聚合解聚合与 Immediate BlockAck 模式 支持 RIFS,SIFS,AIFS 支持反向传输机制 支持 TSF 计时, 并且软件可配置 支持 MIB 统计信息 5.9 安全系统 支持 IEEE802.11a/b/g/e/n 协议规定的安全算法, 配合完成发送接收数据帧的加解密 满足加解密吞吐率大于 150Mbps Amba2.0 标准总线接口 支持 WAPI 安全模式 2.0 支持 WEP 安全模式 -64 位加密 支持 WEP 安全模式 -128 位加密 支持 TKIP 安全模式 支持 CCMP 安全模式 13

16 5.10 FLASH 控制器 提供总线访问 FLASH 接口 提供系统总线和数据总线访问仲裁 实现 CACHE 缓存系统提高 FLASH 接口访问速度 提供对不同 QFlash 的兼容性 5.11 RSA 加密模块 RSA 运算硬件协处理器, 提供 Montgomery(FIOS 算法 ) 模乘运算功能 配合 RSA 软件库实现 RSA 算法 支持 128 位到 2048 位模乘 5.12 通用硬件加密模块 加密模块自动完成指定长度的源地址空间数据的加密, 完成后自动将加密数据回写到指定的目的地址空间 ; 支持 SHA1/MD5/RC4/DES/3DES/AES/CRC/TRNG 支持 SHA1/MD5/RC4/DES/3DES/AES/CRC/TRNG 加密算法 DES/3DES 支持 ECB 和 CBC 两种模式 AES 支持 ECB CBC 和 CTR 三种模式 CRC 支持 CRC8 CRC16_MODBUS CRC16_CCITT 和 CRC32 四种模式 CRC 支持输入 / 输出反向 SHA1/MD5/CRC 支持连续多包加密 内置真随机数发生器, 也支持 seed 种子产生伪随机数 5.13 I 2 C 控制器 APB 总线协议标准接口, 只支持主设备控制器,I²C 工作频率支持可配,100K 400K 14

17 5.14 主 / 从 SPI 控制器 支持同步的 SPI 主从功能 其工作时钟为系统内部总线时钟 其特点如下 : 发送和接收通路各有 8 个字深度的 FIFO master 支持 Motorola SPI 的 4 种格式 (CPOL,CPHA), TI 时序,macrowire 时 slave 支持支持 Motorola SPI 的 4 种格式 (CPOL,CPHA); 支持全双工和半双工 主设备支持 bit 传输, 最大支持 65535bit 传输 从设备支持各种长度 byte 的传输模式 从设备输入的 SPI_Clk 最大时钟频率为系统时钟的 1/ UART 控制器 设备端符合 APB 总线接口协议 支持中断或轮询工作方式 支持 DMA 传输模式, 发送接收各存在 32-byte FIFO 波特率可编程 5-8bit 数据长度, 以及 parity 极性可配置 1 或 2 个 stop 位可配置 支持 RTS/CTS 流控 支持 Break 帧发送与接收 Overrun,parity error,frame error,rx break frame 中断指示 最大 16-burst byte DMA 操作 5.16 GPIO 控制器 可配置的 GPIO 软件控制的输入输出 硬件控制的输入输出 可配置中断方式 15

18 GPIOA 和 GPIOB 寄存器起始地址不同, 但是功能一致 5.17 定时器 微秒与毫秒计时 ( 据时钟频率配置计数个数 ), 实现六个可配置的 32 位计数器, 当相应计算器配置的计数完 成时, 产生相应中断 5.18 看门狗控制器 支持 看门狗 功能 观察软件形为的正确性及允许系统崩溃后进行全局复位 看门狗 产生一个周期性 的中断, 系统软件必须响应这个中断, 并清除中断标志 ; 若由于系统崩溃中断标志很长时间没有被清除, 则 产生一个硬复位进行系统的全局复位 5.19 射频配置器 实现了同步的 SPI 主功能 其工作时钟为系统内部总线时钟 其特点如下 : 发送和接收通路各有 1 个字深度的 FIFO 5.20 射频收发器 射频收发器部分包括功率放大器 发射通路 接收通路 锁相环以及 SPI 在内的模块 通过调整控 制端口 SHDN, RXEN 和 TXEN 来改变芯片工作状态 接收通路采用了零中频结构, 直接将射频信号转换为基带 I Q 两路输出 射频前端工作在 2.4GHz, 包含低噪放和正交混频器 ; 基带由低通滤波器和可变增益放大器组成, 实现信道滤波和增益控制 ; 驱动放大器为 ADC 接口提供不同的直流输出 发射通路包含 : 可编程控制滤波器, 上变频混频器, 可变增益放大器和功放, 发射通路也采用直接 变频结构 DAC 的输出信号经过低通滤波器, 滤掉镜像频率及带外噪声 PA 输出是差分输出驱动 片外天线 5.21 PWM 控制器 5 通道 PWM 信号生成功能 16

19 2 通道输入信号捕获功能 (PWM0 和 PWM4 两个通路 ) 频率范围 :3Hz~160KHz 占空比最大精度 :1/256, 插入死区的计数器宽度 :8bit 5.22 I²S 控制器 支持 AMBA APB 总线接口,32bit single 读写操作 支持主, 从模式, 可以双工工作 支持 8/16/24/32 位宽, 最高采样频率为 128KHz 支持单声道和立体声模式 兼容 I²S 和 MSB justified 数据格式, 兼容 PCM A/B 格式 支持 DMA 请求读写操作 只支持按字操作 /UART 控制器 设备端符合 APB 总线接口协议 支持中断或轮询工作方式 支持 DMA 传输模式, 发送接收各存在 32-byte FIFO DMA 只能按字节进行操作, 最大 16-burst byte DMA 操作 兼容 UART 以及 7816 接口功能 : 串口功能 : 波特率可编程 5-8bit 数据长度, 以及 parity 极性可配置 1 或 2 个 stop 位可配置 支持 RTS/CTS 流控 17

20 支持 Break 帧发送与接收 Overrun,parity error,frame error,rx break frame 中断指示 7816 接口功能 : 兼容 ISO T=0.T=1 模式 兼容 EVM2000 协议 可配置 guard time(11 ETU-267 ETU) 正向 / 反向约定可软件配置 支持发送 / 接收奇偶校验及重传功能 支持 0.5 和 1.5 停止位配置 5.24 PSRAM 接口控制器 W800 内置 SPI/QSPI 接口的 PSRAM 控制器, 支持外置 PSRAM 设备访问, 提供总线方式的 PSRAM 读写擦 操作 最高读写速度 80MHz 支持对外置 PSRAM 的读写访问 可配置为 SPI 和 QSPI SPI/QSPI 时钟频率可配置 支持 BURST INC 模式访问 支持 PSRAM 的半休眠模式 5.25 触摸按键控制器 模块基本功能如下 : 支持最多 11 路 Touch Sensor 扫描 记录每路 Touch Sensor 扫描结果 18

21 通过中断上报扫描结果 19

22 6 管脚定义 图 6-1 管脚布局图 (QFN32) 20

23 表 6-1 管脚分配定义 (QFN32) 编号名称类型复位后管脚功能复用功能最高频率上下拉能力驱动能力 1 PB_20 I/O UART_RX UART0_RX/PWM1/UART1_CTS/I² C_SCL 10MHz UP/DOWN 12mA 2 PB_19 I/O UART_TX UART0_TX/PWM0/UART1_RTS/I² C_SDA 10MHz UP/DOWN 12mA 3 WAKEUP I WAKEUP 唤醒功能 DOWN 4 RESET I RESET 复位 UP 5 XTAL_OUT O 外部晶振输出 6 XTAL_IN I 外部晶振输入 7 AVDD33 P 芯片电源,3.3V 8 ANT I/O 射频天线 9 AVDD33 P 芯片电源,3.3V 10 AVDD33 P 芯片电源,3.3V 11 AVDD33_AU X P 芯片电源,3.3V 12 TEST I 测试功能配置管脚 13 BOOTMODE I/O BOOTMODE I²S_MCLK/LSPI_CS/PWM2/I²S_DO 20MHz UP/DOWN 12mA 14 PA_1 I/O JTAG_CK JTAG_CK/I²C_SCL/PWM3/I² S_LRCK/ADC0 20MHz UP/DOWN 12mA 21

24 JTAG_SWO/I²C_SDA/PWM4/I² UP/DOWN 15 PA_4 I/O JTAG_SWO 20MHz 12mA S_BCK/ADC1 PWM4/LSPI_MOSI/I²S_MCK/I²S_DI UP/DOWN 16 PA_7 I/O GPIO, 输入, 高阻 20MHz 12mA /Touch0 17 VDD33IO P IO 电源,3.3V PWM0/LSPI_MISO/UART3_TX/PSRAM_ UP/DOWN 12mA 18 PB_0 I/O GPIO, 输入, 高阻 80MHz CK/Touch3 PB_1 PWM1/LSPI_CK/UART3_RX/PSRAM_CS UP/DOWN 12mA 19 I/O GPIO, 输入, 高阻 80MHz /Touch4 PB_2 PWM2/LSPI_CK/UART2_TX/PSRAM_D0 UP/DOWN 12mA 20 I/O GPIO, 输入, 高阻 80MHz /Touch5 PB_3 PWM3/LSPI_MISO/UART2_RX/PSRAM_ UP/DOWN 12mA 21 I/O GPIO, 输入, 高阻 80MHz D1/Touch6 PB_4 LSPI_CS/UART2_RTS/UART4_TX/PSRA UP/DOWN 12mA 22 I/O GPIO, 输入, 高阻 80MHz M_D2/Touch7 PB_5 LSPI_MOSI/UART2_CTS/UART4_RX/PS UP/DOWN 12mA 23 I/O GPIO, 输入, 高阻 80MHz ARM_D3/Touch8 24 VDD33IO P IO 电源,3.3V 25 CAP I 外接电容,4.7µF - UART1_TX/MMC_CLK/HSPI_CK/SDIO_ UP/DOWN 26 PB_6 I/O GPIO, 输入, 高阻 50MHz 12mA CK/Touch9 22

25 27 PB_7 I/O GPIO, 输入, 高阻 UART1_RX/MMC_CMD/HSPI_INT/SDIO _CMD/Touch10 50MHz UP/DOWN 12mA I² UP/DOWN 12mA 28 PB_8 I/O GPIO, 输入, 高阻 S_BCK/MMC_D0/PWM_BREAK/SDIO_D 50MHz 0/ Touch11 I² UP/DOWN 12mA 29 PB_9 I/O GPIO, 输入, 高阻 S_LRCK/MMC_D1/HSPI_CS/SDIO_D1/ 50MHz Touch12 30 PB_10 I/O GPIO, 输入, 高阻 I²S_DI/MMC_D2/HSPI_DI/SDIO_D2 50MHz UP/DOWN 12mA 31 VDD33IO P IO 电源,3.3V 32 PB_11 I/O GPIO, 输入, 高阻 I²S_DO/MMC_D3/HSPI_DO/SDIO_D3 50MHz UP/DOWN 12mA 33 GND P 接地 注 :1. I = 输入,O = 输出,P = 电源 23

26 7 电气特性 7.1 极限参数 表 7-1 极限参数 参数名称最小值典型值最大值单位 供电电压 VDD V 输入逻辑电平低 V IL V 输入逻辑电平高 V IH 2.0 VDD+0.3 V 输入引脚电容 C pad 2 pf 输出逻辑电平低 V OL 0.4 V 输出逻辑电平高 V OH 2.4 V 输出最大驱动能力 I MAX 24 ma 存储温度范围 T STR 工作温度范围 T OPR 射频功耗参数 测试条件 :3.3V 供电, 发射按 50% 占空比测试 表 7-2 射频功耗参数 模式典型值单位 发射 IEEE802.11b 1Mbps POUT = +19.4dBm 240 ma 发射 IEEE802.11b 11Mbps

27 POUT = +19.3dBm 发射 IEEE802.11g 54Mbps POUT = dbm 190 ma 发送 IEEE802.11n MCS7 POUT = +12dBm 180 ma 接收 IEEE802.11b/g/n 95 ma 7.3 Wi-Fi 射频 表 7-3 Wi-Fi 射频参数 参数典型值单位 输入频率 2.4~ GHz 发射功率 IEEE802.11b 11Mbps 19±2 dbm IEEE802.11g 54Mbps 16±2 dbm IEEE802.11n MCS7 HT20 13±2 dbm 接收灵敏度 IEEE802.11b 1Mbps -96 dbm IEEE802.11b 11Mbps -87 dbm IEEE802.11g 54Mbps -73 dbm IEEE802.11g MCS7 HT20-71 dbm 25

28 邻道抑制 IEEE802.11b 6Mbps 32 db IEEE802.11g 54Mbps 16 db IEEE802.11n HT20, MCS0 31 db IEEE802.11n HT20, MCS7 12 db 7.4 蓝牙射频 传统蓝牙射频 接收器 - 基础数率 (BR) 参数条件最小值典型值最大值单位 BER -91 dbm BER 0 dbm 共信道抑制比 C/I 9 db 带外阻塞 30 MHz ~ 2000 MHz -10 dbm 2000 MHz ~ dbm MHz 2500 MHz ~ dbm MHz 3000 MHz ~ 12.5 GHz -10 dbm 互调 -39 db 26

29 发射器 - 基础数率 (BR) 参数条件最小值典型值最大值单位 射频发射功率 6 dbm 增益控制步长 3 db 射频功率控制范围 dbm 20 db 带宽 f1avg f2max f2avg/ f1avg 0.89 ICFT 0 漂移速率 khz 偏移 (DH1) -4-1 khz 偏移 (DH5) 0 21 khz 接收器 - 增强速率 (EDR) 参数条件最小值典型值最大值单位 π/4 DQPSK BER -88 dbm 0 dbm BER 27

30 8DPSK BER -81 dbm 0 dbm BER 发射器 - 增强数据率 (EDR) 参数条件最小值典型值最大值单位 射频发射功率 0 dbm 增益控制步长 3 db 射频功率控制范围 dbm π/4 DQPSK max w KHz π/4 DQPSK max wi KHz π/4 DQPSK max wi + w KHz 8DPSK max w KHz 8DPSK max wi KHz 8DPSK max wi + w KHz π/4 DQPSK 调制精度 RMS DEVM 6.7 % 99% DEVM 100 % Peak DEVM 14.1 % 8 DPSK 调制精度 RMS DEVM 6.8 % 28

31 99% DEVM % Peak DEVM 15.3 % EDR 差分相位编码 100 % 低功耗蓝牙射频 接收器 参数条件最小值典型值最大值单位 PER -94 dbm 0 dbm PER 带外阻塞 30MHz~2000MHz -30 dbm 2003MHz~2399MHz -35 dbm 2484MHz~3000MHz -35 dbm 3000MHz~12.5GHz -30 dbm 互调 -47 dbm 发射器 参数条件最小值典型值最大值单位 射频发射功率 6 dbm 增益控制步长 2 db 射频功率控制范围 dbm 29

32 f1avg khz f2max khz 漂移速率 1.5 khz 偏移 -4.3 khz 30

33 8 封装信息 图 8-1 W800 封装参数 表 8-1 W800 封装参数表 SYMBOL MILLIMETER MIN NOM MAX A A b c D D e 0.40BSC 31

34 Ne 2.80BSC Nd 2.80BSC E E L h L/F 载体尺寸 122x122 32

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

ESP32 1 ESP ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B V V1.1 MCU CPU PLL V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017

ESP32 1 ESP ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B V V1.1 MCU CPU PLL V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017 ESP32 Espressif Systems 2017 3 17 ESP32 1 ESP32 2 3 4 ESP32 5 ESP32 6 ESP32 7 ESP32 8 ESP32 A B 2016.08 V1.0 2017.02 V1.1 MCU CPU PLL 2017.03 V1.2 URL Wi-Fi Wi-Fi Bluetooth SIG 2017 1 1 1.1 1 1.1.1 1 1.1.2

More information

乐鑫智能互联平台:ESP8266

乐鑫智能互联平台:ESP8266 1 Page 乐鑫信息科技 2013 年 12 月 09 日 免 责 申 明 和 版 权 公 告 本 文 中 的 信 息, 包 括 供 参 考 的 URL 地 址, 如 有 变 更, 恕 不 另 行 通 知 文 档 按 现 状 提 供, 不 负 任 何 担 保 责 任, 包 括 对 适 销 性 适 用 于 特 定 用 途 或 非 侵 权 性 的 任 何 担 保, 和 任 何 提 案 规 格 或 样

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

SAPIDO GR-1733 無線寬頻分享器

SAPIDO GR-1733 無線寬頻分享器 1 版 權 聲 明... 4 產 品 保 固 說 明... 4 保 固 期 限... 4 維 修 辦 法... 5 服 務 條 款... 5 注 意 事 項... 6 低 功 率 電 波 輻 射 性 電 機 管 理 辦 法... 6 CE 標 誌 聲 明... 6 無 線 功 能 注 意 事 項... 6 1 產 品 特 點 介 紹... 7 1.1 LED 指 示 燈 功 能 說 明... 8 1.2

More information

xxxx数据手册

xxxx数据手册 产品手册 IoT Wi-Fi SOC 版本 :1.2 日期 :2017-11-16 编号 :DS0099CN 摘 要 特性 高度集成的 SoC 芯片 ARM 9,256KB SRAM 和 2MB Flash 支持 802.11b/g/n 无线局域网 高效率功率放大器 (PA) 丰富的 I/O 接口微处理器 (MCU) 内核 :ARM 9 主频 :120MHz 内存 256KB SRAM 2MB Flash

More information

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc

中文朗科AirTrackTM T600 迷你无线路由器用户手册.doc AirTrack T600 http://www.netac.com.cn Netac Netac AirTrack OnlyDisk Netac Netac Netac http://www.netac.com.cn Netac 800-830-3662 FCC 15 B 1 2 3 4 / FCC 20cm 1 2 3 / / ...1 1.1...1 1.2...1 1.3...1 1.4...3...4

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

ESP32-S

ESP32-S ESP32-S2 0.3 2019 www.espressif.com ESP32-S2 www.espressif.com/zh-hans/subscribe ESP32 www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2019 2 ESP32-S2 V0.3 ESP32-S2 Wi-Fi (SoC) (IoT)

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

Microsoft Word - 39.doc

Microsoft Word - 39.doc 摘 基 于 ARM 的 嵌 入 式 无 线 AP 的 设 计 杨 健 陈 永 泰 ( 武 汉 理 工 大 学 信 息 工 程 学 院, 武 汉 430070) 要 : 本 文 首 先 介 绍 了 无 线 AP 的 基 本 原 理, 然 后 重 点 描 述 基 于 AT76C510 的 无 线 AP 的 硬 件 设 计 及 嵌 入 式 系 统 uclinux 最 后 对 IEEE802.11b 的 安

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para

MENU SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC General Description Key Features Applications Key Para SYD8821: Ultra Low Power Bluetooth 4.2 Single Mode SoC 1.1 General Description The SYD8821 is a low power and high performance 2.4GHz Bluetooth Low Energy SoC. SYD8821 integrates all Bluetooth smart devices

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Data Sheet Wi-Fi Smart Wi-Fi n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex

Data Sheet Wi-Fi Smart Wi-Fi n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex Data Sheet Wi-Fi Smart Wi-Fi 802.11n 3x3:3 MIMO(N 2x2:2) 3G Wi-Fi Mesh ChannelFly IP-67 Wi-Fi ZoneDirector SCG 200 FlexMaster Smart Wi-Fi AP ZoneFlex 7782 SCG 200 Wi-Fi BeamFlex+ 6dB 15dB AP 500 SMART

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图

Datasheet [Page 1] 版权声明 未经许可, 禁止使用或复制本手册中的全部或任何一部分内容, 这尤其适用于商标 机型命名 零件号 和图 产品手册 IoT WiFi SOC 版本 :1.8 日期 :2018-7-27 编号 :DS0099CN 摘 MOC108 /MOC108A 是高度集成的 IoT WiFi SoC 芯片, 包含 MCU RAM Flash WiFi 和多种 IO 接口 微处理器 (MCU) 存储设备 接口 WiFi 内核 :ARM 9 主频 :120MHz 256KB SRAM 2MB Flash 2x UART

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz

ZoneFlex N SMART WI-FI AP ZoneFlex 7762 ZoneFlex 7762-S n 2.4/5 GHz GHz ( ) 3G n 2.4 GHz GHz Data Sheet ChannelFly AC IP-67 Wi-Fi ZoneDirector / FlexMaster Smart Wi-Fi AP ( 3GPP I-WLAN 4G/LTE ) Wi-Fi 6 db 15 db AC 500 Smart Wi-Fi Wi-Fi IP ZoneFlex 7762 802.11N SMART WI-FI AP 2.4/5GHz 802.11n Access

More information

Tokyo Tech Template

Tokyo Tech Template 2.4GHz CMOS PA,,, 2010/07/21 Contents 1 Introduction 2 PA (Power Amplifier) 2.4GHz : WiMAX, WLAN, Bluetooth Introduction 3 Capacitive cross-coupling Self-biased cascode Schematic 4 Out V DD 2 : 1 V DD

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

PowerPoint template - Guidelines

PowerPoint template - Guidelines STM32F7 介绍 STMCU Team 内容 2 STM32 F7 系列总览 STM32F7 新特性 系统架构 boot 模式 RCC, DMA, SYSCFG, PWR FMC 四线 SPI(QSPI) SPDIF-Rx 低功耗定时器 HDMI-CEC 从 STM32F4 系列到 STM32F7 系列的移植 3 STM32 F7 系列 第一个进入市场的基于 ARM Cortex -M7 的 32

More information

11N 无线宽带路由器

11N 无线宽带路由器 http://www.tenda.com.cn 1... 1 1.1... 1 1.2... 1 2... 3 2.1... 3 2.2... 3 2.3... 5 2.4... 6 2.5... 7 2.6... 9 2.6.1 无线基本设置... 9 2.6.2 无线加密设置... 9 2.7... 10 3... 18 3.1... 18 3.2... 19 3.2.1 ADSL 拨号上网设置...

More information

ESP-WROOM-32 Espressif Systems

ESP-WROOM-32 Espressif Systems ESP-WROOM-32 Espressif Systems 206 2 9 ESP-WROOM-32 ESP-WROOM-32 2 3 4 ESP-WROOM-32 5 ESP-WROOM-32 206.08 V.0 206. V. 5 206. V.2 206.2 V.3 2. URL Wi-Fi Wi-Fi Bluetooth SIG 206 2 3 2. 3 2.2 4 2.3 Strapping

More information

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档

免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任 本文档 WT8266-S1 极致 / 开放 / 小巧 / 易用 规格书 版本 2.4 2016 年 1 月 22 日 免责申明和版权公告 本文中的信息 包括供参考的 URL 地址 如有变更 恕不另行通知 文档 按现状 提供 不负任何担保责任 包括对适销性 适用于特定用途或非侵权性的任何担保 和 任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任 包括使用本文档内信息产生的侵犯 任何专利权行为的责任

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

sl sl

sl sl SL SL 21 2006 SL 21 90 2006-09-09 2006-10-01 1 2004 9 sl21-90 90 90 90 90 20 90 4 6 3 sl21-90 2 3 1 1 2 2 2.1... 2 2.2... 2 2.3... 3 2.4...3 3 5 3.1... 5 3.2... 5 4 7 4.1... 7 4.2... 7 4.3... 7 4.4...

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

声 明 Copyright 2013 普 联 技 术 有 限 公 司 版 权 所 有, 保 留 所 有 权 利 未 经 普 联 技 术 有 限 公 司 明 确 书 面 许 可, 任 何 单 位 或 个 人 不 得 擅 自 仿 制 复 制 誊 抄 或 转 译 本 书 部 分 或 全 部 内 容 不 得

声 明 Copyright 2013 普 联 技 术 有 限 公 司 版 权 所 有, 保 留 所 有 权 利 未 经 普 联 技 术 有 限 公 司 明 确 书 面 许 可, 任 何 单 位 或 个 人 不 得 擅 自 仿 制 复 制 誊 抄 或 转 译 本 书 部 分 或 全 部 内 容 不 得 电 力 线 AP TL-PWA2701N 详 细 配 置 指 南 REV1.2.1 1910040389 声 明 Copyright 2013 普 联 技 术 有 限 公 司 版 权 所 有, 保 留 所 有 权 利 未 经 普 联 技 术 有 限 公 司 明 确 书 面 许 可, 任 何 单 位 或 个 人 不 得 擅 自 仿 制 复 制 誊 抄 或 转 译 本 书 部 分 或 全 部 内 容 不

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

无线通讯实验室测试系统方案.doc

无线通讯实验室测试系统方案.doc 110 Wi-Fi3G 1 QPSK64QAM 2 3 4 3 1 2 3 1.1 I/Q OFDM MIMO : 1 WCDMACDMA2000TD-SCDMAWLAN 2 3 4 ; : 2.1 ; ; ; ; ; ; ; IQ ; ; 2.2 ; ; ; / ; ; ; / ; ; ; ; ; ; ; ; ; ; / ; ; ; ; ;VCO ; ; ; ; ; ; / Agilent 1.2

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5

ESP-WROOM-32 ESP-WROOM ESP-WROOM-32 5 ESP-WROOM-32 6 ESP V V V V V V.5 ESP-WROOM-32 Espressif Systems 207 3 7 ESP-WROOM-32 ESP-WROOM-32 2 3 4 ESP-WROOM-32 5 ESP-WROOM-32 6 ESP32 206.08 V.0 206. V. 5 206. V.2 206.2 V.3 2. 207.03 V.4 2 3 4.2 4.4 4.6 3 207.03 V.5 2.2 3.2 3.5.

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3 3/8/005 3G 4 3/8/005 3G 5 3/8/005 3G 6 3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G a(i) f f f f A B A B a(ii) f f f 3 f f f 3 A B C A B

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

: WLAN 802.11 802.11 WECA 2 4 Agilent Wi-Fi 802.11b: Wi-Fi 802.11a: Wi-Fi 5 802.11g:? DSSS ( ) 802.11b : ( ): 130 feet @ 11Mbps ( ): 16 miles @ 11Mbps WAN GPRS, 1xRTT (2.5G) UMTS (3G) Mobitex, ReFLEX LAN

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

http://www.digiark.com/tian Email: tian@dr.com UTP STP (NIC-Network Interface Card) RAM OSI LAN ( ) OSI/RM OSI/RM (1) Router SwitchHub NIC CPURAMHard Driver (2) (CPURAM Hard Driver) Local Area Network)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

IP Camera

IP Camera IP Camera ( 高 清 云 摄 像 机 ) 电 脑 客 户 端 使 用 手 册 本 操 作 手 册 适 用 于 我 公 司 所 有 云 摄 像 机 系 列 产 品 注 : 为 了 保 护 您 的 隐 私 安 全, 登 陆 后 请 修 改 机 器 的 出 厂 密 码, 并 请 妥 善 保 管 好 用 户 名 及 密 码. 手 册 版 本 : 版 本 日 期 描 述 V1.0 2013 年 5

More information

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1

P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 P.3 1.1 1.2 P.4-6 2.1 2.2 P.7-8 3.1 3.2 3.3 3.4 3.5 P.9-14 4.1 4.2 P.15-29 5.1 5.2 5.3 5.4 5.5 P.30-42 6.1 6.2 P.1 6.3 ( ) 6.4 ( ) 6.5 6.6 6.7 6.8 P.43 P.44-48 8.1 8.2 P.49 P.50 P.51 P.2 1.1 1.2 P.3 2.1

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

岳西职教中心

岳西职教中心 省 级 示 范 中 等 职 业 学 校 复 评 申 报 材 料 岳 西 县 职 业 技 术 教 育 中 心 二 00 九 年 九 月 目 录 一 关 于 要 求 对 岳 西 县 职 教 中 心 申 报 省 级 示 范 中 等 职 业 学 校 工 作 进 行 复 评 的 报 告 二 关 于 成 立 岳 西 县 争 创 省 级 示 范 中 等 职 业 学 校 领 导 小 组 的 通 知 三 关 于 成

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

EFM8BB Microcontroller Family Highest Performance Value MCUs

EFM8BB Microcontroller Family  Highest Performance Value MCUs 独特解决方案满足低功耗应用 Alan Pang 2016-Aug-24 为什么低功耗很重要? 环保 更好的用户体验 节约成本 在某些应用更换电池不切实际 3 低功耗要求 简单的电池供电设备 加密设备 穿戴装置 4 总线供电的网络设备 工业传感器 / 监控器 EFM32 Pearl & Jade Gecko 处理器和内存 Cortex-M4 with FPU or Cortex-M3 Up to 40

More information

TD-LTE 发射机系统设计分析

TD-LTE 发射机系统设计分析 TD-LTE --- China Telecom system 4G 3G TD-LTE TD-LTE TI TD-LTE TD-LTE ( 5bit/S/Hz; 2.5bit/S/Hz) ( :100Mbps :50Mbps) (100ms 10ms ) 1.4MHz;3MHz;5MHz,10MHz,15MHz,20MHz 2011 2 7 TD-LTE (GTI) 48 27 38 TD-LTE

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information