唯创知音

Size: px
Start display at page:

Download "唯创知音"

Transcription

1 广州唯创电子有限公司 MP3 录音模块 V1.15 Note: WAYTRONIC ELECTRONIC CO.,LTD. reserves the right to change this document without prior notice. Information provided by WAYTRONIC is believed to be accurate and reliable. However, WAYTRONIC makes no warranty for any errors which may appear in this document. Contact WAYTRONIC to obtain the latest version of device specifications before placing your orders. No responsibility is assumed by WAYTRONIC for any infringement of patent or other rights of third parties which may result from its use. In addition,waytronic products are not authorized for use as critical components in life support devices/systems or aviation devices/systems, where a malfunction or failure of the product may reasonably be expected to result in significant injury to the user, without the express written approval ofwaytronic.

2 目录 1. 概述 : 功能简述 : 管脚描述 : 管脚分布图 极限参数 : 直流特性 : 一线串口通讯 : 管脚分配 : 一线语音地址对应关系 : 一线语音及命令码对应表 : 一线串口时序图 : 两线串口通讯 : 管脚分配 : 语音地址对应关系 : 语音及命令码对应表 : 两线串口时序图 : 数脉冲控制方式 : 管脚分配 : 语音地址对应关系 : 数脉冲控制时序 : 按键控制模式 程序范例 一线串口控制程序 二线串口控制程序 数脉冲控制程序 应用电路 一线串口应用电路 两线串口应用电路 数脉冲应用电路 按键应用电路 封装管脚图 第 1 页 - 全国统一服务热线 :

3 1. 概述 : WTN6 系列为多功能, 低功耗, 高性能的 CMOS 语音芯片 现有 WTN6040 WTN6096 WTN6170 三种芯片 ( 语音长度分别为 40s 96s 170s), WTN6040 WTN6096 WTN6170 已投入市场 音频采样率目前最高可达 32kHz,16 级音量控制, 两种音频输出方式 PWM 输出和 DAC 输出 精准的 +/-1% 内部震荡, 不需要加外部震荡, 具备超低功耗待机 2. 功能简述 : (1) 工作电压 :2.4V~5.2V; (2) 待机模式下, 静态电流小于 5uA( 语音播放完成后,DATA /CLK 信号线保持稳定的电平 2 秒左右即可休眠 ); (3) 精准的 +/-1% 内部震荡, 有低压复位 (LVR=1.8V) 看门狗计时 (4) 12 位 PWM 纯音频输出, 可直接驱动 8Ω/0.5W 喇叭和蜂鸣器,DAC 音频输出, 可外接功放 ; (5) 内置看门狗 ; (6) 具备串口控制模式 : 数脉冲, 按键, 一线串口, 两线串口 ( 最多可以加载 224 段语音, 后续有详解 ) (7) 支持 BUSY 状态输出 ( 标准程序 BUSY 脚默认为高电平, 语音播放过程中为低电平 另外, 串口控制模式下, 只有当语音芯片收到正确的语音地址时序 BUSY 脚才会有电平变化 ); 选型注意 : 1. 芯片的控制方式和输出方式在烧写程序时已经设定好, 不可以再次更改, 订做芯片时需要和业务员说明应用要求 2. 因为 WTN6 上电需要一定的初始化时间 ( 约 100MS 左右 ), 而且初始化期间无法响应指令, 需完成初始化才能正常接收控制指令 3.WTN6 芯片二线串口控制 DAC 输出 DEMO 板播放效果加通讯时序演示视频 : 4.WTN6 芯片一线串口控制 PWM 输出 DEMO 板播放效果加通讯时序演示视频 : 3. 管脚描述 : - 第 2 页 - 全国统一服务热线 :

4 3.1 管脚分布图 : Pad Name Pad No. ATTR. Description 描述 PA2 1 I/O 忙信号输出 PA1 2 I/O 两线串口时钟信号输入端 / 一线串口数据信号输入端 / 数脉冲数据信号输入端 PA0 3 I/O 两线串口数据信号输入端 / 复位脚 PA3 4 I/O 暂未使用 (NC) PWM- 5 out PWM 输出脚 VDD 6 Power 电源正极 PWM+/DAC 7 I/O PWM DAC 输出脚 GND 8 Power 电源负极 4. 极限参数 : 标识 范围值 单位 VDD~GND 电源电压 -0.5~+5.2 V Vin 输入电压 GND-0.3< Vin <VDD+0.3 V Vout 输出电压 GND < 0.3V ~ VDD+0.3 V Top 工作温度 -20~ +75( 工业特别场合谨慎使用 ) ºC 备注 : 样品在实验室测试的结果, 芯片在 -20 ~+75 下, 能够正常工作 5. 直流特性 : 标识参量最小值 典型 值 最大 值 单位 条件 VDD 工作电压 V 1MHZ 或 2MHz Isb 待机电流,VDD=3V/4.5V 5 ua Iop 工作电流 VDD=3V 3 ma VDD=4.5V 3.7 ma 无负载 IIK 输入漏电流 0.1 ua Ioh 输出驱动电流 10 ma VDD=3V,Voh=1.0V 22 ma VDD=4.5V,Voh=2.2V Iol 输出倒灌电流 20 ma VDD=3V,Voh=2.0V 40 ma VDD=4.5V,Voh=2.5V Ioh PWM1 输出电流 60 ma VDD=3V,Load=8 ohms - 第 3 页 - 全国统一服务热线 :

5 VDD=4.5V, Load=8 100 ma ohms 70 ma VDD=3V,Load=8 ohms Ioh PWM2 输出电流 117 ma VDD=4.5V, Load=8 ohms Iol F/F 电压波动频偏 VDD=3V 2 % VDD=4.5V, Load=8 VDD=4.5V 0.5 ohms F/F 频偏 (VDD=3V) 振荡频率 -5 5 % Fosc Mhz Fmax(3.0v)-Fmin(3.0v) Fmax(3.0v) VDD=2.0~5.5V Fosc(3.0v)-Fosc(2.4v) Fosc(3v) Fosc(4.5v)-Fosc(3.0v) Fosc(4.5v) 6. 一线串口通讯 : 一线串口模式可以利用 MCU 通过 DATA 线给 WTN6 系列语音芯片发送数据以达到控制的目的 可以实 现控制语音播放 停止 循环等 6.1 管脚分配 : 管脚 封装形式 PA1 PA2 SOP8 DATA BUSY - 第 4 页 - 全国统一服务热线 :

6 6.2 一线语音地址对应关系 : 数据 ( 十六进制 ) 00H 01H 02H. DDH DEH DFH 功能播放第 0 段语音播放第 1 段语音播放第 2 段语音播放第 221 段语音播放第 222 段语音播放第 223 段语音 注意 : 如要播放该地址语音, 只要发送该地址就能自动播放该地址语音, 两条地址指令时间间隔需大于 4ms 6.3 一线语音及命令码对应表 : 命令码 功能 描述 E0H...EFH E0 音量最小,EF 音量最大, 共 16 级 在语音播放中, 播放结束或者待机状态发此命令调节音量 音量调节 F2H 循环播放当前语音 执行此命令可循环播放当前段语音, 可在语音播放 / 语音停止时发送 F2 循环指令执行过程中, 可被 FE 命令 普通地址指令 F3/F8 组合指令打断, 并失效 ; 需先发播放指令, 再发循环播放指令 F3H 连码播放 F3H+ 语音地址 A,F3H+ 语音地址 B,F3H+ 语音地址 C, 在播放地址 A 的时候, 收到后面的码不打断, 播放完 A, 就播放 B, 然后播放 C F3 与地址之间需要加 2ms 的延时 F8H 插入静音 F8H+ 静音时间 (10MS 为单位 ), 播放完一个地址之后插入静音 FEH 停止播放当前语音执行此命令可停止播放当前段语音 注意 : 在未停止播放的情况下, 如果没有命令码 F3H 或者 F8H, 只有语音地址, 就会打断之前在播放的语音, 连码指令必须配合地址使用 ( 例如 :F3H+00H+F3H+01H) F3H 和 F8H 可以方便的组合不同语音,F3H+ 地址 +F8H+10H(100ms), 最大可组合 10 组内容, 首组指令必须为 F3+ 地址 ; 也可以通过判断语音播放时的 BUSY 电平和播放结束时的 BUSY 电平的变化, 完成组合播放 - 第 5 页 - 全国统一服务热线 :

7 6.4 一线串口时序图 : 先把数据线拉低 4~20ms 后, 推荐 5ms, 发送 8 位数据, 先发送低位, 再发送高位, 使用高电平和低电 平比例来表示每个数据位的值 注意 : 必须高电平在前, 低电平在后 推荐使用 200us:600us 取值范围 :40us:120us ~ 400us:1200us 注意使用 3:1 和 1:3 电平比例以保障通讯 稳定 假如我们要发送 96H, 那么他对应的时序图, 如下所示 : 假如我们要让芯片依次播放 01/02/03/04 地址的语音内容 即连码指令播放 F3+01+F3+02+F3+03+F3+04. 对应时序可以如下图所示 : - 第 6 页 - 全国统一服务热线 :

8 注意 : 1 因为 WTN6 上电需要一定的初始化时间 ( 约 100MS 左右 ), 而且初始化期间无法响应指令, 因此建议用户使用连码功能时, 一组连码地址发送之后延时 2ms 再发送下一组连码地址 ; 但是 F3 与地址之间的间隔还是 2ms; 2 芯片 IO 口, 默认内部 1M 下拉 因此客户在做低功耗休眠时, 语音播放结束可以将 DATA 拉低, 防止倒灌电流 7. 两线串口通讯 : 7.1 管脚分配 : 封装形式 SOP8/DIP8 管脚 PA2 PA1 PA0 默认为 BUSY 信号输出端 CLK DATA 7.2 语音地址对应关系 : 数据 ( 十六进制 ) 00H 01H 02H. DDH DEH DFH 功能播放第 0 段语音播放第 1 段语音播放第 2 段语音播放第 221 段语音播放第 222 段语音播放第 223 段语音 注意 : 如要播放该地址语音, 只要发送该地址就能自动播放该地址语音, 两条地址指令时间间隔需大于 4ms 7.3 语音及命令码对应表 : 命令码功能描述 E0H...EFH E0 音量最小,EF 音量最大, 共 16 级音量调节 在语音播放结束或者待机状态发此命令调节音量 F2H 循环播放当前语音执行此命令可循环播放当前段语音, 可在语音播放 / 语音停止时发送 F2 循环指令执行过程中, 可被 FE 命令 普通地址指令 F3/F8 组合指令打 断, 并失效 ; 需先发播放指令, 再发循环播放指令 - 第 7 页 - 全国统一服务热线 :

9 F3H 连码播放 F3H+ 语音地址 A,F3H+ 语音地址 B,F3H+ 语音地址 C, 在播放地址 A 的时候, 收到后面的码不打断, 播放完 A, 就播放 B, 然后播放 C F3 与地址之间需要加 2ms 的延时 F8H 插入静音 F8H+ 静音时间 (10MS 为单位 ), 播放完一个地址之后插入静音 FEH 停止播放当前语音执行此命令可停止播放当前段语音 注意 : 在停止播放的情况下, 如果没有命令码 F3H 或者 F8H, 只有语音地址, 就会打断之前在播放的语音, 连码指令必须配合地址使用 ( 例如 :F3H+00H+F3H+01H) F3H 和 F8H 可以方便的组合不同语音, F3H+ 地址 +F8H+10H(100ms), 最大可组合 10 组内容, 首组指令必须为 F3+ 地址 ; 也可以通过判断语音播放时的 BUSY 电平和播放结束时的 BUSY 电平的变化, 完成组合播放 7.4 两线串口时序图 : 两线串口控制模式由片时钟 CLK 和数据 DATA 进行控制操作, 每发一个字节数据前, 时钟信号 CLK 拉 低 4ms 至 20ms, 推荐使用 5ms 以唤醒 WTN6 语音芯片, 接收数据低位在先, 在时钟的上升沿接收数据 时 钟周期介于 40us~3.2ms 之间, 推荐高低电平持续时间各使用 300us( 即一个 bit 发送的时钟周期为 600us) 发数据时先发低位, 再发高位 数据中的 00H~DFH 为语音地址指令,E0H~EFH 为音量调节命令,F2H 为 循环播放命令,FEH 为停止播放命令 假如我们要发送 96H, 那么他对应的时序图, 如下所示 : 假如我们要让芯片依次播放 01/02/03/04 地址的语音内容 即连码指令播放 F3+01+F3+02+F3+03+F3+04. 对应时序可以如下图所示 : - 第 8 页 - 全国统一服务热线 :

10 备注 : 1 因为 WTN6 上电需要一定的初始化时间 ( 约 100MS 左右 ), 而且初始化期间无法响应指令, 因此建议用户使用连码功能时一组连码地址发送之后延时 2ms 再发送下一组连码地址 ; 但是 F3 与地址之间的间隔还是 2ms; 2 芯片 IO 口, 默认内部 1M 下拉 因此客户在做低功耗休眠时, 语音播放结束可将 CLK 和 DATA 拉低, 防止倒灌电流 8. 数脉冲控制方式 : 数脉冲控制模式是通过在 DATA 线上发送不同的脉冲数量以达到控制语音地址播放的目的, 该控制模式具 有操作简单, 控制方便, 常用于播放语音段数不多的场合 8.1 管脚分配 : 管脚封装形式 PA2 PA1 PA0 SOP8 默认为 BUSY 信号输出端 DATA RESET 8.2 语音地址对应关系 : 序号 脉冲数 语音地址 第 9 页 - 全国统一服务热线 :

11 8.3 数脉冲控制时序 : 数脉冲控制时序中, 先拉高 RESET 信号 150us, 然后发送 DATA,DATA 中的脉冲高电平需要保持 100us 的高电平, 且两个脉冲之间的间隔时间需要 100us 脉冲数据发送完后 64ms,BUSY 信号输出 BUSY 输出 100us 后开始播放地址语音, 语音播放结束 BUSY 也发生变化 注 : 脉冲信号高电平宽度时间范围 :50us~2ms, 推荐使用 100us; 脉冲信号间隔时间范围 :100us~4ms, 推荐使用 100us 复位信号的高电平范围为大于 100us, 推荐使用 150us 通讯 IO 口平时为低, 发完数据后应拉低 9. 按键控制模式 : 所定义的管脚可以直接触发芯片放音, 即每一个管脚可控制播放一段语音 每个管脚的触发方式可单 独设置 按键控制模式的防抖动时间为 10ms 按键触发模组包括脉冲可重复触发 脉冲不可重复触发 电平保持循环 电平保持不循环 下一曲循环 上一曲循环 详细请见下时序图 : 9.1 脉冲可重复触发 备注 : 负脉冲触发 当 I/O 口检测到有下降沿时 ( 如, 该 I/O 口对地短路一下 ), 触发播放语音 在 语音播放期间, 再检测到下降沿, 芯片会打断正在播放的语音, 重新播放 只要有下降沿信号, 就重新播 放 9.2 脉冲不可重复触发 - 第 10 页 - 全国统一服务热线 :

12 备注 : 负脉冲触发 当 I/O 口检测到有下降沿时 ( 如, 该 I/O 口对地短路一下 ), 触发播放语音 在 语音播放期间, 再检测到下降沿时, 芯片不动作 直到语音结束后, 检测到的下降沿才有效 9.3 电平保持循环 备注 : 当 I/O 口为低电平时, 保持播放, 高电平则停止 当第一遍结束后, 还保持低电平, 则继续重 新播放, 直到转变为高电平才停止 只要是低电平, 则有声音 ; 高电平, 则没声音 9.4 电平保持不循环 备注 : 低电平触发 当 I/O 口为低电平时, 保持播放, 高电平则停止 当第一遍播放结束后, 还保持 低电平, 也不会继续播放, 触发后只播放一次就结束 如果需要重新播放, 则需要让 I/O 口处于高电平, 再拉为低电平, 而后保持低电平即可 9.5 下一曲可循环 - 第 11 页 - 全国统一服务热线 :

13 备注 : 负脉冲触发 用一个按键触发播放语音 一个负脉冲触发播放一段语音, 下一个脉冲触发播放 下一段语音, 重复操作, 播放完最后一段语音, 则会点播到第一段语音, 如此循环触发播放语音 9.6 上一曲可循环 备注 : 负脉冲触发 用一个按键触发播放语音 一个负脉冲触发播放一段语音, 下一个脉冲触发播放 上一段语音, 重复操作, 播放完最前一段语音, 则会点播到最后一段语音, 如此循环触发播放语音 10. 程序范例 : 10.1 一线串口控制程序 : #define UC8 unsigned char #define P_DATA P01 /* ; 模块名称 :Line_1A_WTN6(UC8 DDATA) ; 功 能 : 实现一线串口通信函数 ; 入 参 : DDATA 为发送数据 ; 出 参 : ;P_DATA 为数据口 ; */ Void Line_1A_WTN6( UC8 DDATA) { UC8 S_DATA,j; bit B_DATA; S_DATA = DDATA; P_DATA = 0; Delay_1ms(5); // 延时 5ms B_DATA = S_DATA&0X01; for(j=0;j<8;j++) { if(b_data == 1) { P_DATA = 1; - 第 12 页 - 全国统一服务热线 :

14 } Delay_N10us(60); // 延时 600us P_DATA = 0; Delay_N10us(20); // 延时 200us } else { P_DATA = 1; Delay_N10us(20); // 延时 200us P_DATA = 0; Delay_N10us(60); // 延时 600us } S_DATA = S_DATA>>1; B_DATA = S_DATA&0X01; } P_DATA = 1; 10.2 二线串口控制程序 #define UC8 unsigned char #define CLK_2A P01 #define P_DATA_2A P00 /* ; 模块名称 :Line_2A_WTN6(UC8 DDATA) ; 功 能 : 实现二线串口通信函数 ; 入 参 :DDATA 为发送数据 ; 出 参 : ; CLK_2A // 时钟线 ; P_DATA_2A // 数据线 ; */ Void Line_2A_WTN6(UC8 DDATA) { UC8 S_DATA,j; bit B_DATA; CLK_2A = 1; // 时钟线 P_DATA_2A = 1; // 数据线 S_DATA = DDATA; CLK_2A = 0; Delay_1ms(5); // 延时 5ms B_DATA = S_DATA&0X01; for(j=0;j<8;j++) - 第 13 页 - 全国统一服务热线 :

15 { CLK_2A = 0; // 拉低 P_DATA_2A = B_DATA; // 传输数据一位 Delay_N10us(30); // 延时 300us CLK_2A = 1; // 拉高 Delay_N10us(30); // 延时 300us } S_DATA = S_DATA>>1; B_DATA = S_DATA&0X01; } P_DATA_2A = 1; CLK_2A = 1; 10.3 数脉冲控制程序 #define UC8 unsigned char #define RST P00 #define DATA_CLK P01 /* ; 模块名称 :Line_one ; 功 能 : 发送指定地址的脉冲数 ; 入 参 : DDATA 为脉冲个数 ; 出 参 :0 ;RST 为复位信号 ;DATA_CLK 为脉冲信号 ; */ void Line_one(UC8 DDATA) { UC8 i; RST = 0; DATA_CLK = 0; RST = 1; // 拉高复位 Delay_N10us(15); // 延时 RST = 0; // 拉低 Delay_N10us(15); for(i=0;i<ddata;i++) { DATA_CLK = 1; Delay_N10us(10); DATA_CLK = 0; - 第 14 页 - 全国统一服务热线 :

16 } Delay_N10us(10); } RST = 0; DATA_CLK = 0; 11. 应用电路 : 11.1 一线串口应用电路 : 语音芯片的工作电压范围 2.4V-5.2V,PWM 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 语音芯片的工作电压范围 2.4V-5.2V, 功放芯片的工作电压范围 1.8V-6.0V, 外接 PWM 功放输出 - 第 15 页 - 全国统一服务热线 :

17 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 该 WT1312 功放为 PWM 功放, 只能用于 PWM 输出方式 语音芯片的工作电压范围 2.4V-5.2V,DAC 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 在 DAC 输出模式下,PWM- 脚必须接 1nf 电容到地,DAC 输出可根据需求外接功放, 以驱动更大功率的喇叭 11.2 两线串口应用电路 语音芯片的工作电压范围 2.4V-5.2V,PWM 输出 : 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 - 第 16 页 - 全国统一服务热线 :

18 语音芯片的工作电压范围 2.4V-5.2V, 功放芯片的工作电压范围 1.8V-6.0V, 外接 PWM 功放输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 该 WT1312 功放为 PWM 功放, 只能用于 PWM 输出方式 语音芯片的工作电压范围 2.4V-5.2V,DAC 输出 : 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 在 DAC 输出模式下,PWM- 脚必须接 1nf 电容到地,DAC 输出可根据需求外接功放, 以驱动更大功率的喇叭 - 第 17 页 - 全国统一服务热线 :

19 11.3 数脉冲应用电路 : 语音芯片的工作电压范围 2.4V-5.2V,PWM 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 语音芯片的工作电压范围是 2.4V-5.2V,DAC 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 在 DAC 输出模式下,PWM- 脚必须接 1nf 电容到地,DAC 输出可根据需求外接功放, 以驱动更大功率的喇叭 - 第 18 页 - 全国统一服务热线 :

20 11.4 按键应用电路 ( 高电平触发控制 ) 语音芯片的工作电压范围 2.4V-5.2V,PWM 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 语音芯片的工作电压范围是 2.4V-5.2V,DAC 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 在 DAC 输出模式下,PWM- 脚必须接 1nf 电容到地,DAC 输出可根据需求外接功放, 以驱动更大功率的喇叭 - 第 19 页 - 全国统一服务热线 :

21 11.5 按键应用电路 ( 低电平触发控制 ) 语音芯片的工作电压范围 2.4V-5.2V,PWM 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 语音芯片的工作电压范围是 2.4V-5.2V,DAC 输出 注意 : 布线时电容 C1 尽量靠近 WTN6 芯片 VDD 脚, 以增强 WTN6 系列语音芯片的抗干扰能力 在 DAC 输出模式下,PWM- 脚必须接 1nf 电容到地,DAC 输出可根据需求外接功放, 以驱动更大功率的喇叭 - 第 20 页 - 全国统一服务热线 :

22 12. 封装管脚图 : - 第 21 页 - 全国统一服务热线 :

23 13. 版本记录 : 版本号修改说明修改日期 V1.00 原始版本 V1.01 修改公司地址 V1.02 修改封装管脚 V1.03 更新 IO 口定义 V1.04 增加了数脉冲的功能 V1.05 修改部分说明有歧义 V1.06 增加按键功能的说明 V1.07 增加外接 WT1312 功放的原理图 V1.08 删除 WTN6065 芯片的描述 V1.09 增加 WTN6 按键原理图 V1.10 修改部分备注 V1.11 修改功放部分备注 V1.12 修改按键触发控制电路 V1.13 分别对芯片初始化时间, 休眠条件,BUSY 信号进行注释 V1.13 修订工作温度为 -20~ V1.14 增加 DEMO 板测试链接与修改音量调节指令在播放中可以调节 V1.15 优化因此客户在做低功耗休眠时, 语音播放结束可将 CLK 和 DATA 拉低, 防止倒灌电流 第 22 页 - 全国统一服务热线 :

24 ( 原名 : 广州唯创电子有限公司 ) 于 1999 年创立于广州市天河区, 唯一专注于语音技术研究 语音产品方案设计及控制等软 硬件设计的高新技术公司 业务范围涉及电话录音汽车电子 多媒体 家居防盗 通信 家电 医疗器械 工业自动化控制 玩具及互动消费类产品等领域 团队有着卓越的 IC 软 硬件开发能力和设计经验, 秉持着 积极创新 勇于开拓 满足顾客 团队合作 的理念, 为力争打造 语音业界 的领导品牌 我公司是一家杰出的语音芯片厂家, 从事语音芯片研究及外围电路开发 ; 同时为有特别需求的客户制订语音产品开发方案, 并且落实执行该方案, 完成产品的研发 测试, 声音处理, 直至产品的实际应用指导等一系列服务 经过多年的发展, 公司形成了一个完善的新品流程体系, 能快速研发出新品以及完善产品 语音芯片系列包含 :WT2000 WT2003 WT5001 WT588D WTH WTV WTN 等, 每一款语音芯片我们都追求精益求精 精雕细琢不断开发和完善, 以求更佳的品质 为客户实现更多的价值 产品 模块 编辑软件等的人性化设计, 使得客户的使用更方便 于 2006 年成立的北京唯创虹泰分公司主要以销售完整的方案及成熟产品为宗旨, 以便于为国内北方客户提供更好的服务 不仅如此, 还推出的多种语音模块, 如 WT2000 录音模块, 通过外围电路的扩展, 更贴近广大用户的需求 我们也是 MP3 芯片研发生产厂家 随着公司的外围技术扩展, 在 2004 年开始生产 MP3 芯片, 以及提供 MP3 方案 在同行里面有相当高的知名度, 到现在为止更新换代一起出了 8 种 MP3 解决方案, 并且得到市场的广泛认可 其中的 WT2000 WT2003 等芯片以音质表现极其优秀不断被客户所接受并使用 在语音提示器方面, 我们也从事于语音提示器生产厂家 : 经过多年的技术储备, 开始向语音提示器领域拓展, 并且得到了可喜的成果, 成为语音提示器生产厂家里的一员 根据探头的类别 : 有超声波语音提示器, 红外人体感应语音提示器, 光感应语音提示器 同时也针对不同的领域开 总公司名称 : 电话 : 传真 : 全国统一服务热线 : WT1999@waytronic.com 网址 : 地址 : 广东省深圳市宝安区福永镇福安机器人产业园 11 栋 4 楼 分公司名称 : 广州唯创电子有限公司 电话 : @qq.com 地址 : 广州市花都区天贵路 62 号 TGO 天贵科创 D 座 409 室 网址 : 分公司名称 : 北京唯创虹泰科技有限公司 电话 : 传真 : BHL8664@163.com 网址 : 地址 : 北京昌平区立汤路 186 号龙德紫金 3 号楼 902 室 - 第 23 页 - 全国统一服务热线 :

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == WT821-SD MP3 芯片资料 Note: WAYTRONIC ELECTRONIC CO.,LTD. reserves the right to change this document without prior notice. Information provided by WAYTRONIC is believed to be accurate and reliable. However,

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

<453A5CD0BEC6ACCAFDBEDDCAD6B2E15CD3EFD2F44943D1A1D0CD4E E646F63>

<453A5CD0BEC6ACCAFDBEDDCAD6B2E15CD3EFD2F44943D1A1D0CD4E E646F63> NV020S 系列语音模块 语音 ICNV020S 系列 数据手册 Version Number Reverse Date Remark 1.0 2006.10.18 第一版本 1.1 2009.3.12 修正了第一版本电路图, 增加了环境极限参数 广州市九芯电子科技有限公司 1 电话 :15384492849( 胥 ) 020-2232563 QQ:1799137205 目录概述... 3 1 功能特点...

More information

目录 1 概述 3 2 功能特点 3 3 选型指南 3 4 应用范围 4 5 芯片管脚图及封装引脚对应表 5 51 芯片管脚图 5 52 封装引脚对应表 5 6 电气参数及环境极限绝对系数 5 61 电气参数 5 62 环境极限参数 6 7 控制模式 6 71 按键控制模组 6 72 MCU 一线串

目录 1 概述 3 2 功能特点 3 3 选型指南 3 4 应用范围 4 5 芯片管脚图及封装引脚对应表 5 51 芯片管脚图 5 52 封装引脚对应表 5 6 电气参数及环境极限绝对系数 5 61 电气参数 5 62 环境极限参数 6 7 控制模式 6 71 按键控制模组 6 72 MCU 一线串 (NV020B/NV040B/NV065B/NV080B/NV115B) 数据手册 Version Number Reverse Date Remark 10 20101018 第一版本 11 2012312 修正了第一版本电路图, 增加了环境极限参数 12 201372 修改了应用电路图 13 2013-11-1 矫正了电压范围, 修正了地址个数 14 20160511 完善电路图, 使手册更加易懂

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

三 管脚描述序号 名称 功能描述 1 PD6 I/0 2 PD7 I/0 3 PC0 I/0 4 PC1 I/0 5 PC2 I/0 6 PC3 I/0 7 PB1 I/0 8 AUDIO 音频输出, 接功放 9 PWM- 接 0.5W/8 欧喇叭 10 PWM-M 接 0.5W/8 欧喇叭 11

三 管脚描述序号 名称 功能描述 1 PD6 I/0 2 PD7 I/0 3 PC0 I/0 4 PC1 I/0 5 PC2 I/0 6 PC3 I/0 7 PB1 I/0 8 AUDIO 音频输出, 接功放 9 PWM- 接 0.5W/8 欧喇叭 10 PWM-M 接 0.5W/8 欧喇叭 11 WTS-48 模块 使用说明书 首先, 感谢您成为我司的顾客, 并使用我司自主研发的 WTS-48 模块, 您的选择是明智的 我司是一家致力于开发和生产 语音板块的专业厂家, 拥有实力超群的研发团队和研制语音板块的扎实基础, 以保证我们所发行产品的稳定性 优越性和专 业性 我司信奉顾客为上帝, 为客户提供优质可靠的售后跟踪服务和技术支持, 让顾客对我司产品的应用更为从容不迫得心 应手 不断更新的技术支持与优良的售后服务是我司一直屹立在语音板块市场上的主要因素之一

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

AC8DZE1ForElise.doc

AC8DZE1ForElise.doc 致爱丽丝 For Elise / AC8DZE1 音乐 IC 芯片资料 : AC8DZE1 致爱丽丝 For Elise 音乐芯片是一颗高性能的 Melody 双音音乐 IC, 贴片八脚 Sop8 封装, 提供了宽电压支持的 4.5V 门铃圣诞系列音乐 IC 支持 2-5.5V 的宽电压范围, 电源直接 PWM 驱动喇叭的音乐芯片, 音乐合成方式为可编程式 的方波和包络线, 音质效果好, 有 2 个

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

SmartSound人体感应语音提示器v1.2.doc

SmartSound人体感应语音提示器v1.2.doc WT0102P01( 人体感应方向识别版 ) V1.03 2014-11-17 Note: WAYTRONIC ELECTRONIC CO.,LTD. reserves the right to change this document without prior notice. Information provided by WAYTRONIC is believed to be accurate

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Microsoft Word - BY P语音模块使用说明书V1.2.docx

Microsoft Word - BY P语音模块使用说明书V1.2.docx 深圳百为电子科技有限公司 BY00-P 语音模块使用说明书 V. 深圳市百为电子科技有限公司 S h e n z h e n B a l w a y E l e c t r o n i c T e c h n o l o g y C o., L t d. - 第 页 - 深圳百为电子科技有限公司 BY00-P 语音模块使用说明书 V. BY00-P 语音模块使用说明书 概述 BY00-P 是深圳市百为电子科技有限公司自主研发的一款小巧的新型高品质插卡

More information

<4D F736F F D20536D F756E64C8CBCCE5B8D0D3A6D3EFD2F4CCE1CABEC6F776312E332E646F63>

<4D F736F F D20536D F756E64C8CBCCE5B8D0D3A6D3EFD2F4CCE1CABEC6F776312E332E646F63> SmartSound 人体感应语音提示器 (WT01S0195) 使用说明书 目 录 1 简介...1 2 产品特性...1 3 电气参数...2 4 产品外观...2 4.1 尺寸...2 4.2 面板...3 4.3 寿命参照表...3 5 快速使用指南...4 5.1 安装操作...4 5.2 供电操作...5 5.3 开机操作...5 5.4 充电操作...5 5.5 插入 TF 卡...5

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

MP3门铃模块

MP3门铃模块 MP3 录音模块 V1.0 2014-2-27 Note: WAYTRONIC ELECTRONIC CO.,LTD. reserves the right to change this document without prior notice. Information provided by WAYTRONIC is believed to be accurate and reliable. However,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本

第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V 正式版本 V3s 项目 CamDroid 编译第三方程序 / V1.0 第 1 页共 9 页 文档履历 版本号日期制 / 修订人内容描述 V1.0 2014-04-23 正式版本 第 2 页共 9 页 目录 1. 交叉编译环境... 3 2. 第三方库的 Makefile 示例... 4 3. 第三方应用 Makefile 示例... 5 4. 第三方应用 CamLinux.mk 示例... 6 5. 常见错误...

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

! " # $ % & (( %) "*+,- &.(/-) & ( 0 & 1! % " % # % & & $ % "/()%!"# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // "*+,- &.(/-) & ( 0 & 1

!  # $ % & (( %) *+,- &.(/-) & ( 0 & 1! %  % # % & & $ % /()%!# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // *+,- &.(/-) & ( 0 & 1 !"#!!!!!!!!!!!!!!!!!!""! ! " # $ % & (( %) "*+,- &.(/-) & ( 0 & 1! % " % # % & & $ % "/()%!"# (( (02-03 /(((.1/.2( 4 //). /$0 3)0%. /1/%-2 (( ) / ((0 // "*+,- &.(/-) & ( 0 & 1 2/.%3( 00 !!!! " # $ % &

More information

标题

标题 第 二 章 城 市 的 经 济 竞 争 力 归 根 结 底 是 城 市 创 造 价 值 为 居 民 提 供 福 利 的 能 力, 而 财 富 追 根 溯 源 是 由 企 业 组 织 下 的 人 创 造 的 假 定 城 市 价 值 收 益 即 为 城 市 企 业 价 值 收 益 的 总 和, 可 以 从 分 析 城 市 企 业 价 值 收 益 入 手, 来 分 析 城 市 价 值 收 益 及 其 能

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

<4D F736F F D20536D F756E64B3ACC9F9B2A8D3EFD2F4CCE1CABEC6F7CAB9D3C3CBB5C3F7CAE956312E315F B7BDB0B85F2E646F63>

<4D F736F F D20536D F756E64B3ACC9F9B2A8D3EFD2F4CCE1CABEC6F7CAB9D3C3CBB5C3F7CAE956312E315F B7BDB0B85F2E646F63> 广州唯创电子有限公司 SmartSound 超声波语音提示器使用说明书 SmartSound 超声波语音提示器 使用说明书 V1.1 目录 1. 简介... 2 1.1. 产品概述...2 1.2. 产品特性...2 1.3. 电气参数...3 2. 产品外观和连接说明... 3 2.1. 面板...3 2.2. 硬件安装过程...4 3. 产品操作说明... 4 3.1. 装载语音...4 3.1.1.

More information

AC8FM36.doc

AC8FM36.doc 和弦音乐门铃 IC / 和弦门铃芯片 36 首芯片芯片资料 : AC8FM36 是一颗高性能集成 MCU 的单晶片 4 通道语音合成 IC, 直接 PWM 驱动喇叭的和弦音乐门铃芯片, 音乐音质效果好, 音色逼真, 让您能充份感受到现场乐器的那种真实感, 支持 MCU 一线通讯来进行控制, 为高端方案开发节约了主控芯片的 IO 资源 AC8FM36 门铃 IC 同时支持出 OTP 硬封装形式,OTP

More information

Microsoft Word - WT2000M03 MP3模块说明书V1.0.docx

Microsoft Word - WT2000M03 MP3模块说明书V1.0.docx WT2000M03 MP3 模块 V1.0 2013-12-20 Note: WAYTRONIC ELECTRONIC CO.,LTD. reserves the right to change this document without prior notice. Information provided by WAYTRONIC is believed to be accurate and reliable.

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

AC8FM16_Doorbell_IC.doc

AC8FM16_Doorbell_IC.doc 4 和弦音乐门铃芯片 / 16 首和弦门铃 IC 芯片资料 : AC8FM16 是一颗高性能集成 MCU 的高品质的 4 通道和弦音乐合成 IC, 共有 16 首和弦音乐, 直接 PWM 驱动喇叭的四和弦音乐门铃芯片, 音乐音质效果好, 音量大音色逼真, 经典传承, 市场使用量稳定, 让您能充份感受到现场乐器的那种真实感, 支持 MCU 一线通讯来进行控制, 为 高端方案开发节约了主控芯片的 IO

More information

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区,

摘 要 文 德 甲 新 村 (Kampung Suria Mentakab), 位 于 彭 亨 中 部, 属 于 淡 馬 魯 市 所 管 辖 她 距 离 淡 马 鲁 市 区 约 11 公 里, 而 距 离 而 连 突 大 约 50 公 里 文 德 甲 新 村 路 口 外 就 是 文 德 甲 市 区, UTAR NEW VILLAGE COMMUNITY PROJECT REPORT NAME OF NEW VILLAGE: KAMPUNG SURIA MENTAKAB PAHANG Project carried out by: Student Name Student ID Course Year / Semester 1. Tiang Min Yao 10ABB06950 Accounting

More information

Microsoft Word - SH090330.doc

Microsoft Word - SH090330.doc 2009 年 3 月 30 日 環 球 指 數 上 周 收 市 價 一 星 期 變 化 百 分 率 四 星 期 變 化 百 分 率 恆 生 指 數 14,119.50 +1285.99 +10.02% +1307.93 +10.21% 國 企 指 數 8,481.22 +985.26 +13.14% +1578.38 +22.87% 上 海 綜 合 指 數 2,374.44 +93.35 +4.09%

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

MyCOS

MyCOS 河 北 工 程 大 学 应 届 毕 业 生 社 会 需 求 与 培 养 质 量 跟 踪 评 价 报 告 (15) 内 部 资 料 禁 止 外 传 麦 可 思 数 据 有 限 公 司 本 报 告 撰 写 者 : 麦 可 思 团 队 项 目 负 责 人 郑 伟 分 析 与 撰 写 王 梦 萍 王 丽 王 伦 陈 亚 审 稿 宋 思 文 杨 海 涛 校 对 王 锦 娜 张 扬 数 据 采 集 沈 柯 伶

More information

DATASHEET SEARCH SITE |

DATASHEET SEARCH SITE | 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 1. 概 述 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU 实 时 通 信, 主 要 用 于 一 切 需 要 提 供 时 基 的 系 统 中 该 芯 片 能 够 产 生 多 种 周 期 性 中 断 脉 冲 ( 最 长 周 期 可 长 达 1 个 月 ), 还

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

幻灯片 1

幻灯片 1 课 程 编 号 :MSG331 Office Communications Server 2007 不 Exchange Server 2007 协 同 应 用 案 例 演 示 UC 熟 知 系 列 主 要 内 容 沟 通 现 状 基 础 架 构 的 挑 戓 统 一 沟 通 模 式 统 一 通 讯 革 命 整 合 Exchange UM 不 OCS 的 好 处 OCS 不 Exchange 协 同

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

untitled

untitled 2010 2010 1 1.1 1.2 1.3 ( ) 2 2.1 2010.9.30 2009.12.31 % 4,126,073,567.93 3,693,840,245.74 11.70% 1,168,078,495.46 1,025,785,662.02 13.87% 479,722,800.00 479,722,800.00 0.00% / 2010 7-9 2.43 2.14 13.55%

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

2

2 40 2 3 4 5 ^ ^ 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 PLEASE AFFIX STAMP HERE Diabetes Hongkong Unit 1802, 18/F., Tung Hip Commercial Bldg., 244-252 Des Voeux Rd C, HK. Diabetes Hongkong membership

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

CANVIO_AEROCAST_CS_EN.indd

CANVIO_AEROCAST_CS_EN.indd 简 体 中 文...2 English...4 SC5151-A0 简 体 中 文 步 骤 2: 了 解 您 的 CANVIO AeroCast CANVIO AeroCast 无 线 移 动 硬 盘 快 速 入 门 指 南 欢 迎 并 感 谢 您 选 择 TOSHIBA 产 品 有 关 您 的 TOSHIBA 产 品 的 详 情, 请 参 阅 包 含 更 多 信 息 的 用 户 手 册 () 安

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

训鸟器使用说明书

训鸟器使用说明书 首先, 感谢您成为我司的顾客, 幵使用我司自主研发的 WT588D 语音提示器, 您的选择是明智的 我司是一家致力亍开发和生产语音板块的与业厂家, 拥有实力超群的研发团队和研制语音板块的扎实基础, 以保证我们所发行产品的稳定性 优越性和与业性 我司信奉顾客为上帝, 为客户提供优质可靠的售后跟踪服务和技术支持, 让顾客对我司产品的应用更为从容丌迫得心应手 丌断更新的技术支持不优良的售后服务是我司一直屹立在语音板块市场上的主要因素之一

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

WTV-S语音播放板使用说明书

WTV-S语音播放板使用说明书 WTV-S 出租车语音提示板 使用说明书 首先, 感谢您成为我司的顾客, 并使用我司自主研发的 WTV-S 出租车语音提示板, 您的选择是明智的 我司是一家致力 于开发和生产语音板块的专业厂家, 拥有实力超群的研发团队和研制语音板块的扎实基础, 以保证我们所发行产品的稳定性 优越性和专业性 我司信奉顾客为上帝, 为客户提供优质可靠的售后跟踪服务和技术支持, 让顾客对我司产品的应用更为从 容不迫得心应手

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

<4D6963726F736F667420576F7264202D20D5FDB7A8D2ABD1DB20BAE7B9E2CEDECFDE20C7B0D1D4D0DEB8C4342E31332E646F63>

<4D6963726F736F667420576F7264202D20D5FDB7A8D2ABD1DB20BAE7B9E2CEDECFDE20C7B0D1D4D0DEB8C4342E31332E646F63> 正 法 耀 眼 虹 光 无 限 成 就 捷 径 九 乘 之 巅 九 乘 次 第 修 法 有 小 乘 和 大 乘 小 乘 指 的 声 闻 乘 和 缘 觉 乘, 大 乘 指 的 显 宗 和 密 宗 显 宗 指 的 是 菩 萨 乘, 也 就 是 波 罗 蜜 乘 ; 密 乘 就 是 密 宗 金 刚 乘, 有 外 密 和 内 密 外 密 有 三 乘 : 事 部 行 部 和 瑜 伽 部 ; 内 密 也 有 三

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

AC8FM28_Doorbell_IC.doc

AC8FM28_Doorbell_IC.doc 和弦门铃芯片 / 28 首音乐门铃 IC 资料 ( Doorbell IC ) 和弦音乐门铃芯片 / 和弦门铃 IC 28 首芯片芯片资料 : AC8FM28 是一颗高性能集成 MCU 的高品质的 4 通道音乐合成 IC, 共有 28 首和弦音乐, 直接 PWM 驱动喇叭的和弦音乐门铃芯片, 音乐音质效果好, 音量大音色逼真, 让您能充份感受到现场乐器的那种真实感, 支持 MCU 一线通讯来进行控制,

More information