前瞻产业研究院-2020年中国半导体设备行业市场研究报告 pdf

Size: px
Start display at page:

Download "前瞻产业研究院-2020年中国半导体设备行业市场研究报告 pdf"

Transcription

1 2020 年中国半导体设备行业 市场研究报告 前瞻产业研究院出品

2 目录 CONTENT 半导体设备行业概述半导体设备行业发展现状半导体设备行业细分市场分析半导体设备行业发展趋势分析

3 半导体设备行业概述 01 半导体设备简介 半导体设备行业发展驱动因素

4 1.1.1 半导体设备简介 半导体设备, 即在芯片制造和封测流程中应用到的设备, 广义上也包括生产半导体原材料所需的机器设备 在整个芯片制造和封测过程中, 会 经过上千道加工工序, 涉及到的设备种类大体有九大类, 细分又可以划出百种不同的机台, 占比较大市场份额的主要有 : 光刻机 刻蚀机 薄膜沉 积设备 离子注入机 测试机 分选机 探针台等 设备 IC 设计芯片制造芯片封装成品测试终端产品 原材料 资料来源 : 网络前瞻产业研究院整理 芯片制造产业链

5 1.1.2 半导体设备是半导体行业基石 半导体设备总市值几百亿美元, 支撑着全球上万亿的电子软硬件大生态, 设备对整个半导体行业有着放大和支撑作用, 其确立了整个半导体产 业可达到的硬性尺寸标准边际值 因此, 半导体设备是半导体制造的基石 半导体行业整体框架 软件 传媒 网络等 电子系统 半导体制造 半导体设备 资料来源 : 麦肯锡前瞻产业研究院整理

6 1.1.3 半导体设备在芯片制造中的位置 硅片制造 多晶硅拉晶切割研磨抛光清洗 IC 设计 逻辑设计电路设计 CAD 图形设计光罩制作 芯片制造 ( 前道 ) 氧化扩散 氧化炉 RTP 设备 薄膜沉积 CVD 设备 PVD 设备 ALD 设备 RTP 设备 光刻 光刻机 刻蚀 刻蚀设备 离子注入 离子去胶机 离子注入机 CMP CMP 设备 刷片机 金属化 PVD 设备 CVD 设备 芯片封测 背面减薄 检测设备 贴膜机 减薄机等 切割 晶圆安装机 划片机 清洗设备 AOI 贴片 贴片机 烤箱 焊线 引线键合机 微波 / 等离子清洗 AOI 封装 注塑机 切筋 / 成型设备 AOI FT 测试设备

7 1.2.1 半导体设备发展驱动因素 1 半导体行业持续增长 作为半导体产业的发动机, 半导体设备是半导体技术迭代的基石 近年来, 半导体行业政策红利不断, 随着物联网 可穿戴设备 5G 等下游产 业的进一步兴起, 半导体行业迎来快速发展阶段 年, 中国集成电路销售额持续以两位数的增速增长,2019 年达到 亿元, 同比增长 15.8%;2020 年上半年, 销售额为 3539 亿元, 尽管受到疫情的影响, 但仍同比增长 16.1% 年上半年中国半导体行业发展情况 ( 单位 : 亿元, %) 37.3% % % % % % % 24.8% 20.7% 15.8% % 20% 10% 9.2% H1 销售额 ( 亿元 ) 增长速度 (%) 0% 资料来源 : 半导体行业协会前瞻产业研究院整理

8 1.2.2 半导体设备发展驱动因素 2 摩尔定律推动行业技术发展 根据摩尔定律演进, 每隔 个月芯片性能将提升一倍 1971 年英特尔发布的第一个处理器 4004, 就采用 10 微米工艺生产, 仅包含 2300 多个晶体管 随后, 晶体管的制程节点以 0.7 倍的速度递减,90nm 65nm 45nm 32nm 22nm 16nm 10nm 7nm 等等相继被成功研制出来, 目前正向 5nm 3nm 2nm 突破 对半导体设备来说, 根据半导体行业内 一代设备, 一代工艺, 一代产品 的经验, 半导体设备要超前半导体产品制造开发新一代产品每更新一代工艺制程, 则需更新一代更为先进的制程设备 120 年来半导体行业摩尔定律情况 资料来源 :Ray Kurzweil, DFJ 前瞻产业研究院整理

9 1.2.3 半导体设备发展驱动因素 3 产业政策有效扶植推进 从政策环境上来看, 随着半导体产业不断深化, 我国对于半导体设备行业愈加重视 其主要表现在对于整个 IC 产业链企业的政策优待以及对于半导体设备行业的相关规划与推动 其中较为突出的是 极大规模集成电路制造装备及成套工艺 项目 (02 专项 ), 其以专项的形式组织了一批国内半导体设备公司进行了一系列重点工艺和技术的攻关, 有效促进了我国半导体设备行业的发展, 使得我国半导体设备行业涌现出了一批拥有国际竞争力的龙头企业 半导体设备行业相关政策 政策名称发布单位相关内容 中国制造 2025 我国集成电路产业 十三五 发展规划建议 国家高新技术产业开发区业 十三五 规划 信息产业发展指南 国务院 半导体行业协会 科技部 国家发改委 工信部 着力提升集成电路设计水平, 掌握高密封度封装及三维 (3D) 微组装技术 ; 提升封装 测试产业的自主发展能力, 形成关键制造设备的供货能力 到 2020 年, 集成电路产业与国际先进水平的差距逐步缩小, 全行业销售收入达到 9300 亿元 ;16/14nm 制造工艺实现规模量产, 封装测试技术进入全球第一梯队 关键设备和材料进入国际采购体系, 基本建成技术先进 安全可靠的集成电路产业体系 优化半导体产业结构, 推进集成电路专用装备关键核心技术突破和应用 要着力提升集成电路设计水平, 大力推进封装测试产业的发展以及加快开发关键装备和材料 十三五 国家科技创新规划 科技部 攻克 14nm 刻蚀设备 薄膜设备 掺杂设备等高端制造装备及零部件, 突破 28nm 浸没式光刻机及核心部件, 研发 14nm 逻辑与存储芯片成套工艺相应系统封测技术, 形成 28-14nm 装备 材料 工艺 封测等较完整的产业链 新时期促进集成电路产业和软件产业高质量发展的若干政策 极大规模集成电路制造装备及成套工艺 项目 (02 专项 ) 国务院 科技部 从财税 投融资 研究开发 进出口 人才 知识产权 市场应用 国际合作等方面切入, 促进集成电路和软件产业发展 提出要聚焦高端芯片 集成电路装备和工艺技术 集成电路关键材料等关键核心技术研发 构建光刻设备和封测等产业技术创新联盟, 集合产业链上制造工艺 装备 相关零部件和材料等上下游企业 相关研究机构和高等院校达 200 多家单位共同开展产学研协同攻关, 引导地方和社会的产业投资跟进, 扶植专项支持的企业做大做强, 推动成果产业化, 形成产业规模, 提高整体产业实力 资料来源 : 前瞻产业研究院整理

10 1.2.4 半导体设备发展驱动因素 4 资本给产业带来新机遇 2014 年 6 月国务院发布了 国家集成电路产业发展推进纲要, 奠定未来集成电路的战略发展方向, 同时提出要设立国家产业投资基金的重要举措 同年 9 月, 在工信部和财政部的指导下, 国开金融 华芯投资等共同签署了 国家集成电路产业投资基金股份有限公司发起人协议 和 国家集成电路产业投资基金股份有限公司章程, 大基金正式设立 ( 一期 ) 大基金一期共募得普通股 亿元, 同时发行优先股 400 亿元, 基金总规模达到 亿元, 以 IC 制造为主 2019 年 10 月 22 日大基金二期正式成立, 总规模高达 亿元, 于 2020 年 3 月开始进行实质投资, 半导体设备 半导体材料等大基金一期投入相对较少的产业迎来发展机遇 时间投资标的投资金额 ( 亿元 ) 设备 设计类, 17% 封测类, 10% 中微半导体 4.80 反应离子刻蚀机 电介质刻蚀机 硅通孔刻蚀机 长川科技 0.40 测试机 自动分选机 拓荆科技 1.65 PECVD ALD 制造类, 67% 装备材料类, 6% 七星电子 6.00 ICP PECVD CVD 2016 年 睿励科学仪器 承诺投资 光学测量设备 华创科技 9.20 半导体设备 长川科技 1.63 测试机 自动分选机 精测电子 1 膜厚设备 OCD 设备 晶圆散射颗粒检测设备 / 盛美半导体 / 半导体清洗设备 半导体设备行业相关政策 国家集成电路产业投资基金一期投资项目明细 : 设备领域 资料来源 : 集微网前瞻产业研究院整理

11 半导体设备行业发展现状 02 全球半导体设备行业发展 中国半导体设备行业发展

12 2.1.1 全球半导体行业周期明显新一轮上升周期来临 从全球半导体发展情况来看, 受宏观经济变化及技术革新影响, 半导体行业存在周期性 年, 全球半导体行业来到了下滑周期 2019 年, 全球固态存储及智能手机 PC 需求增长放缓, 全球贸易摩擦升温, 导致全球半导体需求市场下滑, 全年销售额为 4121 亿美元, 同比下降 12.1% 进入 2020 年, 有 5G 商用化 数据中心 物联网 智慧城市 汽车电子等一系列新技术及市场需求做驱动, 将给予半导体行业新的动能 年上半年全球半导体行业发展情况 ( 单位 : 亿美元,%) % % 20% % % 0% -10% % -20% H1 全球集成电路销售额 ( 亿美元 ) 增长速度 (%) -30% 资料来源 :WTST 前瞻产业研究院整理

13 2.1.2 全球半导体设备行业销售额出现下滑 根据国际半导体产业协会 SEMI 统计数据显示, 近年来全球半导体设备销售额呈波动态势,2019 年为 亿美元, 比 2018 年的 亿美元的历 史高点下降了 7.4% 2020 年一季度, 全球半导体设备销售额为 亿美元, 比 2019 年第四季度减少 13%, 但与 2019 年一季度相比, 增长了 13% 年一季度全球半导体设备销售额及增长速度情况 ( 单位 : 亿美元,%) % % % % % 14.0% 13.0% 20% 10% % -7.4% Q1 全球半导体设备销售额 ( 亿美元 ) 增长速度 (%) 0% -10% 资料来源 :SEMI 前瞻产业研究院整理

14 2.1.3 前道设备占据主要市场份额 在一个新晶圆投资建设中, 设备投资一般占 70-80% 按工艺流程分类, 在新晶圆的设备投资中, 晶圆加工的前道设备占据主要的市场份额, 约 85%; 封测设备占据约 15% 的比重, 其中测试设备 9%, 封装设备 6% 全球半导体设备产业构成情况 ( 单位 :%) 测试设备 9% 封装设备 6% 前道装备 85% 资料来源 :Gartner 前瞻产业研究院整理

15 2.1.4 全球半导体设备产业主要集中在中国台湾及大陆地区 从地区分布来看,2019 年中国台湾是半导体设备的最大市场, 销售额增长了 68%, 达到 亿美元, 占全球市场的比重为 28.65% 中国大陆则以 亿美元的销售额保持其第二大设备市场的地位, 占比为 22.51% 排名第三的是韩国, 销售额为 99.7 亿美元, 同比下降 44%, 占比为 16.69% 尽管日本, 欧洲和世界其他地区的新设备市场萎缩, 但北美设备销售额在 2019 年跃升了 40%, 达到 81.5 亿美元, 占比升到 13.64%, 这是该地区连续第三年增长 Q1 全球半导体设备行业地区分布情况 ( 单位 :%) 6.51% 5.73% 5.39% 8.61% 5.65% 6.26% 4.22% 2.83% 6.01% 6.35% 5.31% 5.29% 6.48% 3.82% 4.11% 6.54% 10.49% 10.79% 10.63% 11.15% 15.03% 11.23% 11.46% 14.68% 13.64% 12.40% 16.58% 21.76% 14.02% 10.89% 9.87% 9.03% 16.69% 21.58% 16.42% 18.65% 20.45% 31.70% 27.44% 18.24% 10.60% 15.66% 22.51% 22.48% 11.65% 13.41% 14.54% 20.32% 33.25% 25.09% 26.39% 29.66% 20.29% 15.76% 28.65% 25.82% Q1 中国台湾中国大陆韩国北美日本欧洲其他地区 资料来源 :SEMI 前瞻产业研究院整理

16 2.1.5 日美荷品牌占据全球前十大设备制造商地位 目前全球半导体设备市场集中度较高, 以美国 荷兰 日本为代表的 TOP10 企业垄断了全球半导体设备市场 90% 以上的份额 美国著名设备公司 应用材料 泛林半导体 泰瑞达 科天半导体合计占据整个设备市场 40% 以上份额, 而且均处于薄膜 刻蚀 前后道检测三大细分领域的绝对龙头地 位 技术领先和近半的市场占有率, 任何半导体制造企业都很难完全脱离美国半导体设备供应体系 2019 年全球半导体设备供应商 TOP10( 单位 :%) 应用材料阿斯麦东京电子泛林半导体 KLA 斯科半导体爱德万测试 ASM 泰瑞达日立高科 5.2% 3.2% 3.7% 2.7% 3.1% 1.8% 3.0% 1.8% 2.6% 1.7% 2.4% 17.3% 15.7% 13.5% 13.4% 6.6% 18.5% 18.1% 17.3% 16.0% 2018 年 2019 年 资料来源 :SEMI 前瞻产业研究院整理

17 2.1.6 巨头瓜分细分市场 从半导体设备的各细分行业来看, 依旧是被 TOP10 供应商垄断, 应用材料 ASML 东京电子 (TEL) 头部三家公司合计占比高达 60%-90% 其中, 应用材料 TEL 等企业横跨多细分领域, 成为航母级龙头企业 2019 年全球半导体设备细分市场品牌竞争格局 ( 单位 :%) 其他 20% TYK 30% 其他 8% TEL 42% 其他 3% DNS 10% 其他 10% 应用材料 15% TEL 25% 其他 6% 佳能 6% 尼康 13% 其他 30% TEL 19% 其他 40% 其他 15% 其他 15% 泛林半导体 25% TEL 25% 其他 20% Axcell 30% TEL 87% ASML 75% 泛林半导体 21% ASM 21% 应用材料 85% 应用材料 50% KE 50% 泛林半导体 50% 应用材料 30% TEL 31% DNS 45% 应用材料 50% CMP 扩散炉涂胶显影设备刻蚀机光刻机 CVD 原子层沉积 PVD 清洗机 离子注入 资料来源 :Gartner 前瞻产业研究院整理

18 2.2.1 半导体设备发展现状 : 行业市场规模持续增长 根据 SEMI 数据显示, 年中国大陆半导体设备市场规模呈现逐年增长态势, 增速波动变化 2019 年行业实现市场规模 亿美元, 同比增长 2.6%, 增速较 2018 年有所回落 2020 年一季度行业实现规模 35 亿元, 较 2019 年同期增长 48%, 可见我国半导体设备在 2020 年初的新冠肺炎事件中受到的影响并不显著 同时, 中国大陆半导体设备市场规模占全球市场规模的比重一直在增长,2019 年中国大陆在全球市场占比实现 22.5%, 较 2018 年增长了 2.3 个百分点 年中国半导体设备行业市场规模及增长情况 ( 单位 : 亿美元,%) 年中国大陆半导体设备市场规模占全球比重情况 ( 单位 :%) % 59.3% % 27.4% % % % 70% 50% 30% 10% -10% -30% 24% 20% 16% 12% 10.6% 11.7% 13.4% 15.7% 14.5% 20.3% 22.5% Q1-50% 8% 中国大陆半导体设备市场规模 ( 亿美元 ) 同比增长 (%) 资料来源 : SEMI 前瞻产业研究院整理

19 2.2.2 半导体设备发展现状 : 国产化率仍处于较低水平 虽然中国半导体专用设备企业销售规模不断增长, 但整体国产率还处于较低的水平, 目前中国半导体专用设备仍主要依赖进口 根据中国本土 主要晶圆厂设备采购情况的统计数据, 目前中国主要本土晶圆厂设备的国产化情况如下 : 中国半导体设备国产化情况分析 ( 单位 :%) 序号 设备名称 销售收入占比 (%) 国内代表性厂商 1 去胶设备 90% 以上 北京屹唐半导体科技有限公司 2 清洗设备 20% 左右 盛美半导体 北方华创 3 刻蚀设备 20% 左右 中微公司 北方华创 北京屹唐半导体科技有限公司 4 热处理设备 20% 左右 北方华创 北京屹唐半导体科技有限公司 5 PVD 设备 10% 左右 北方华创 6 CMP 设备 10% 左右 天津华海清科机电科技有限公司 7 涂胶显影设备 零的突破 芯源微 8 光刻设备 预计将有零的突破 上海微电子装备 ( 集团 ) 股份有限公司 资料来源 : 盛美公司招股说明书前瞻产业研究院整理

20 2.2.3 半导体设备发展现状 : 前道制程设备占主导地位 从产品细分结构来看, 半导体设备主要分为前道制造设备以及后道封装测试设备 根据 SEMI 数据,2018 年国内半导体设备主要集中在前道制程 设备, 其比重为 78%, 其中以光刻机 刻蚀机和薄膜沉积设备为主 此外, 测试设备在半导体设备的占比为 10%, 封装设备在半导体设备中的比重约 为 7% 2018 年中国半导体设备细分产品比例情况 ( 单位 :%) 其他, 5% 封装设备, 7% 测试设备, 10% 制程设备, 78% 资料来源 :SEMI 前瞻产业研究院整理

21 2.2.4 半导体设备发展现状 : 国内企业规模整体偏小 据中国电子专用设备工业协会的数据显示,2019 年中国半导体设备 TOP10 企业共完成销售收入 亿元 2019 年中国半导体设备制造商销售收入 排列首位的是浙江晶盛机电股份有限公司, 其 2019 年半导体设备销售收入达到 亿元, 其次为北方华创科技集团股份有限公司, 销售收入为 亿元 但对标全球半导体设备企业的销售收入来看, 我国半导体设备行业内企业规模仍处于较低水平, 行业设备需求多依赖于国际品牌 2019 年中国半导体设备销售收入 TOP10 企业 ( 单位 : 亿元 ) 序号单位名称销售收入 ( 亿元 ) 1 浙江晶盛机电股份有限公司 北方华创科技集团股份有限公司 深圳市捷佳伟创新能源装备股份有限公司 中电科电子装备集团有限公司 中微半导体设备 ( 上海 ) 有限公司 北京屹唐半导体科技有限公司 盛美半导体设备 ( 上海 ) 有限公司 天通吉成机器技术有限公司 上海微电子装备 ( 集团 ) 股份有限公司 杭州长川科技股份有限公司 2.51 资料来源 : 中国电子专用设备工业协会前瞻产业研究院整理

22 2.2.5 半导体设备发展现状 : 资本市场处于初级阶段 目前, 我国半导体设备行业仍在追赶阶段, 多数企业成立时间较短, 从融资情况来看,2020 年我国半导体设备行业企业的融资轮次多处于 A 轮以及 战略投资 可见行业的融资情况仍处于初级阶段, 从行业发展的情况来看, 未来行业或将吸收更多的资金 2020 年以来中国半导体设备行业投融资事件汇总 序号获投时间公司名称获投轮次获投金额投资方 /8/12 中晟光电新三板定增 1.13 亿人民币浦东科创领投, 海通创新 张江科投 中科创星 同祺投资 重庆冠达等跟投 /6/16 比亚迪半导体 A+ 轮 8 亿人民币 SK 集团, 小米, 招银国际, 联想集团, 中信产业基金,ARM, 中芯国际, 上汽创投, 北汽产投, 深圳华强, 蓝海华腾, 英威腾等 /6/16 广微集成战略投资 4342 万人民币民德电子 /6/15 广奕电子股权转让未披露成都广奕科技合伙企业 ( 有限合伙 ) /6/4 广微集成战略投资 2605 万人民币民德电子 /5/27 比亚迪半导体 A 轮 19 亿人民币红杉资本, 中金资本, 国投创新, 喜马拉雅资本等 /5/7 派瑞股份 IPO 未披露公开发行 /4/21 鲁汶仪器 B 轮 1 亿人民币 中科创星领投, 中冀资本 中域资本 祥晖资本 红星美凯龙 中杰投资等跟投 /4/2 概伦电子 A 轮 亿元及以上人民币 兴橙资本 ( 领投 ) 英特尔投资 Intel Capital( 领投 ) /3/23 普莱信智能 Pre-B 轮 4000 万人民币 蓝图创投领投, 云启资本跟投 /3/12 埃克斯工业 Pre-A 轮 数千万人民币 红杉中国种子基金 /3/4 兴科半导体 战略投资 2.4 亿人民币 国家集成电路产业投资基金股份有限公司, 兴森科技, 科学城投资 资料来源 : 烯牛数据 IT 桔子前瞻产业研究院整理 ( 注 : 统计时间区间为 2020 年 1 月 -8 月 15 日 )

23 半导体设备行业细分市场分析 03 光刻设备 清洗设备 刻蚀设备 封装设备 薄膜沉积设备 测试设备

24 3.1 光刻设备简介 光刻工艺是半导体制造中最为重要的工艺步骤之一 光刻的本质是把临时电路结构复制到硅片上, 这些结构首先以图形形式制作在掩膜版上 ; 光源透过掩膜版将图形转移到硅片表面的光敏薄膜上 光刻工艺主要流程有涂胶 软烘 对准曝光 显影 坚膜烘焙 刻蚀 去除清洗等一系列步 骤 所涉及到的主要设备有光刻 涂布 曝光显影 量测和清洗设备, 其中价值量最大且技术壁垒最高的部分是光刻机 半导体光刻工艺 气相成底膜旋转涂胶软烘对准与曝光曝光后烘焙 等离子体去胶清洗 不合格硅片 返工 显影检查 坚膜烘焙 显影 光刻机 光刻机工作台 离子注入 合格硅片 刻蚀 涂布显影设备 去胶 / 清洗设备 资料来源 : 前瞻产业研究院整理

25 3.1.1 光刻机发展历程分析 光刻机发展至今, 已经历了 5 代产品的迭代 第一二代均为接触接近式光刻机, 使用光源分别为 436nm 的 g-line 和 365nm 的 i-line; 第三代为扫描投影式光刻机, 光源改进为 248nm 的 KrF 激光, 实现了跨越式发展, 将最小工艺推进至 nm; 第四代为浸没步进式投影式光刻机, 是最具代表性的光刻机产品,1986 年由 ASML 首先推出, 采用 193nmArF 激光光源 ; 第五代为 EUV 光刻机, 采用极紫外光光源, 是未来光刻机技术发展的主要方向 2010 年 ASML 推出第一台 EUV 光刻机 NXE:3100, 目前其是全世界唯一一家能够设计和制造 EUV 设备的厂商 光源 :248nm KrF 激光最小工艺 : nm 光源 : 365nm i-line 最小工艺 : nm 光源 : 436nm g-line 最小工艺 : nm 光源 :13.5nm 极紫外光最小工艺 :20-7nm 光源 :193nm ArF 激光最小工艺 :45-20nm nm 第二代 ( 接触接近 ) 第一代 ( 接触接近 ) 第四代 ( 浸没步进式投影 ) 第三代 ( 扫描投影 ) 第五代 ( 极紫外 /EUV) 资料来源 : 图解芯片技术前瞻产业研究院整理

26 3.1.2 全球光刻机需求量在 300 台左右 目前全球光刻机被 ASML Canon 和 Nikon 三家供应商包揽 从行业需求来看, 全球每年光刻机产出量 台,2019 年, 全球 TOP3 企业光刻机合 计销售量 354 台, 较 2018 年下降了 3.8% 在 2019 年的 354 台光刻机设备中,ASML 贡献了 229 台, 占据着超 60% 的市场份额 2020 年第一季度, 全球光刻 机 top3 企业销售量实现 85 台 Q1 全球光刻机销售数量情况 ( 单位 : 台 ) Q1 ASML Canon Nikon 资料来源 :ASML Canon Nikon 公司公告 & 国元证券前瞻产业研究院整理

27 3.1.3 ArF i-line 光刻机是主流 近年来, 市场上销售的光刻机主要为 EUV 光刻机 ArF lm 光刻机 ArF Dry 光刻机 KrF 光刻机和 i-line 光刻机 从 2019 年这五类光刻机的销量情 况来看,ArF 光刻机销量最高, 达 122 台, 其中 ArF lm 光刻机 89 台, ArF Dry 光刻机 33 台 在这 122 台中,ASML 贡献了大部分的份额 其次为 i-line 光 刻机, 销量为 116 台 2019 年全球各类光刻机销售数量情况 ( 单位 : 台 ) i-line KrF Arf Dry ArF Im 82 7 EUV 26 资料来源 :ASML Canon Nikon 公司公告 & 国元证券前瞻产业研究院整理 ASML Canon Nikon

28 3.1.4 光刻机国产化进行时 从中国市场来看, 上海微电子装备有限公司 (SMEE) 是我国国内唯一能够做光刻机的企业 上海微电已经量产的光刻机中, 性能最好的是 SSA600/200 工艺, 能够达到 90nm 的制程工艺, 而最新的荷兰 ASML 公司所生产的 N+1 光刻机是采用最新的制程, 能够达到 7nm 的程度 因此, 国内晶圆厂所需要的高端光刻机完全依赖进口, 国产化脚步有待加快 此外, 从光刻机工作台 涂布显影 去胶 / 清洗等其他光刻设备来看, 我国在研企业还有华卓精科 芯源微 屹唐半导体等 中国光刻设备相关领先企业技术进展情况 光刻设备 领先企业 已具备技术 在研技术 光刻机 上海微电子 nm 65nm 光刻机工作台 华卓精科 nm 45nm 涂布显影 芯源微 nm 28-14nm 去胶 / 清洗 屹唐半导体 130-5nm 3nm 资料来源 : 公开信息 & 国元证券前瞻产业研究院整理

29 3.2 刻蚀设备简介 刻蚀是利用化学或者物理的方法将晶圆表面附着的不必要的材质进行去除的过程 按照刻蚀工艺划分, 刻蚀其主要分为干法刻蚀以及湿法刻蚀, 干法刻蚀主要利用反应气体与等离子体进行刻蚀, 利用等离子体与表面薄膜反应, 形成挥发性物质, 或者直接轰击薄膜表面市值被腐蚀的工艺 湿法刻蚀工艺主要是将刻蚀材料浸泡在腐蚀液内进行腐蚀, 该刻蚀方法会导致材料的横向纵向同时腐蚀, 会导致一定的线宽损失 目前来看, 干法刻蚀在半导体刻蚀中占据绝对主流低位, 市场占比超过 90% 介质刻蚀 干法刻蚀 用等离子体进行薄膜刻蚀技术 硅刻蚀 90% 金属刻蚀 刻蚀技术 湿法刻蚀 将刻蚀材料浸泡在腐蚀液内进行腐蚀, 目前逐步被干法替代 10% 资料来源 : 中国半导体协会前瞻产业研究院整理

30 3.2.1 全球刻蚀设备市场规模至 2025 年有望达到 155 亿美元 近年来, 全球刻蚀设备市场快速发展 2013 年, 全球刻蚀设备市场规模约为 40 亿美元, 随着闪存技术突破, 存储市场拉动刻蚀设备需求明显增 大, 至 2019 年市场规模突破百亿美元, 达到 115 亿美元 SEMI 预测 2025 年全球刻蚀设备市场空间达到 155 亿美元, 年复合增速约为 12%, 市场空间增 量主要来自于存储制造对刻蚀设备的需求激增 年全球刻蚀设备市场规模及预测 ( 单位 : 亿美元 ) 资料来源 :SEMI 前瞻产业研究院整理

31 3.2.2 三大巨头垄断市场 从刻蚀设备主要品牌来看, 目前该领域被泛林半导体 TEL 应用材料三家海外巨头所垄断 2019 年, 三家企业合计占全球刻蚀设备市场 90% 左 右, 其中泛林半导体又以 50% 的市场份额遥遥领先 2019 年全球刻蚀设备市场品牌竞争格局 ( 单位 :%) 其他 10% 应用材料 15% 泛林半导体 50% TEL 25% 资料来源 :Gartner 前瞻产业研究院整理

32 3.2.3 工艺升级带动刻蚀机用量提升 根据中微公司披露的高阶制程刻蚀工艺来看, 由于光刻机在 20nm 以下光刻步骤收到光波长度的限制, 因此无法直接进行光刻与刻蚀步骤, 而是通过多次光刻 刻蚀生产出符合人们要求的更微小的结构 目前普遍采用多重模板工艺原理, 即通过多次沉积 刻蚀等工艺, 实现 10nm 线宽的制程 根据相关数据,14nm 制程所需使用的刻蚀步骤达到 64 次, 较 28nm 提升 60%;7nm 制程所需刻蚀步骤更是高达 140 次, 较 14nm 提升 118%, 工艺升级持续推动刻蚀机用量提升 不同工艺的刻蚀次数 ( 单位 : 次 ) nm 45nm 28nm 20nm 14nm 10nm 7nm 5nm 资料来源 :SEMI 前瞻产业研究院整理

33 3.2.4 有望率先完成国产替代 从国内市场来看, 刻蚀机尤其是介质刻蚀机, 是我国最具优势的半导体设备领域, 也是国产替代占比最高的重要半导体设备之一 目前我国主 流设备中, 去胶设备 刻蚀设备 热处理设备 清洗设备等的国产化率均已经达到 20% 以上 而这之中市场规模最大的则要数刻蚀设备 我国目前在 刻蚀设备商代表公司为中微公司 北方华创以及屹唐半导体 中微与北方作为我国两家设备龙头企业, 偏重领域有一定区别 中国刻蚀设备相关领先企业技术进展情况 设备国产化率设备类型国内领先企业已具备技术在研技术采购量 刻蚀设备 20% 介质刻蚀机中微半导体 65-5nm 3nm 大于 50 台,5nm 已打入台积电 硅刻蚀机北方华创 65-28nm 14-5nm 大于 20 台 金属刻蚀机北方华创 65-28nm 14nm / 资料来源 :SEMI 前瞻产业研究院整理

34 3.3 薄膜沉积设备简介 薄膜的沉积, 是一连串涉及原子的吸附 吸附原子在表面扩散及在适当的位置下聚结, 以渐渐形成薄膜并成长的过程 薄膜沉积工艺主要有原 子层沉积 (ALD) 物理式真空镀膜 (PVD) 化学式真空镀膜 (CVD) 三种工艺 三种半导体薄膜沉积工艺比较 指标 原子层沉积 (ALD) 物理式真空镀膜 (PVD) 化学式真空镀膜 (CVD) 原理 表面反应沉积 蒸发凝固 气相反应沉积 过程 层状生长 形核长大 形核长大 台阶覆盖率 优秀 一般 好 速率 慢 快 快 温度 低 低 高 层均匀性 优秀 一般 较好 厚度控制 反应回圈次数 沉积时间 沉积时间, 气象分压 成分 均匀, 杂质少 无杂质 易含杂质 资料来源 : 国元证券前瞻产业研究院整理

35 3.3.1 全球薄膜沉积设备市场规模至 2025 年有望达到 340 亿美元 根据 Maximize Market Research 数据统计, 全球半导体薄膜沉积市场 2017 年市场空间约为 125 亿美元, 预计到 2025 年将达到 340 亿美元, 期间以 年复合 13.33% 的速度增长 其中市场将以存储 AMOLED 显示屏以及太阳能电站等新兴应用需求的增加为驱动薄膜沉积市场增长的核心动力 年全球薄膜沉积设备市场规模及预测 ( 单位 : 亿美元 ) 资料来源 :Maximize Market Research 前瞻产业研究院整理

36 3.3.2 CVD 设备占据过半市场份额 从半导体薄膜沉积设备主要类型来看,CVD 设备占据着 57% 的薄膜沉积设备市场, 领先于其他类型设备 ; 其次是 PVD, 占比为 25%;ALD 及其他镀膜 设备占据着 18% 的市场份额 2019 年全球半导体薄膜沉积设备市场格局 ( 单位 :%) 其他 18% PVD 25% CVD 57% 资料来源 :AMAT 前瞻产业研究院整理

37 3.3.3 薄膜沉积设备品牌竞争格局分析 从全球市场份额来看,ALD 设备龙头 TEL 和 ASM 分别占据了 31% 和 29% 的市场份额, 剩下 40% 的份额由其他厂商占据 ; 而应用材料则基本垄断了 PVD 市 场, 占 85% 的比重, 处于绝对龙头地位 ; 在 CVD 市场中, 应用材料全球占比约 30%, 连同泛林半导体的 21% 和 TEL 的 19%, 三大厂商占据了全球 70% 的市场 份额 2019 年全球 ALD 设备市场占比 ( 单位 :%) 2019 年全球 CVD 设备市场占比 ( 单位 :%) 2019 年全球 PVD 设备市场占比 ( 单位 :%) 其他 40% TEL 31% 其他 30% 应用材料 30% 其他 15% ASM 29% TEL 19% 泛林半导体 21% 应用材料 85% 资料来源 :Gartner 前瞻产业研究院整理

38 3.3.4 国产薄膜沉积设备取得重大进展 从国内市场看, 中国薄膜沉积设备龙头有北方华创和沈阳拓荆 其中, 北方华创产品线覆盖 CVD PVD 和 ALD 三类 ; 沈阳拓荆主攻 CVD 和 ALD, 目前技术储备均达到 28/14nm 节点 近年来两家公司分别在技术储备以及客户认证方面取得良好进展 2020 年 4 月 7 日, 北方华创宣布, 其 THEORISSN302D 型 12 英寸氮化硅沉积设备进入国内集成电路制造龙头企业 该设备的交付, 意味着国产立式 LPCVD 设备在先进集成电路制造领域的应用拓展上实现重大进展 中国薄膜沉积设备相关领先企业技术进展情况 薄膜沉积设备领先企业已具备技术在研技术 PVD 北方华创 28/14nm 7/5nm CVD ALD 北方华创 28/14nm 7/5nm 沈阳拓荆 28/14nm 7/5nm 北方华创 28/14nm 7/5nm 沈阳拓荆 28/14nm 7/5nm 资料来源 : 公开信息 & 国元证券前瞻产业研究院整理

39 3.4.1 清洗设备分类 : 以湿法清洗为主 半导体清洗设备针对不同的工艺需求, 对晶圆表面进行无损伤清洗以去除半导体制造过程中的颗粒 自然氧化层 金属污染 有机物 牺牲层 抛光残留物等杂质 按照清洗原理来分, 清洗工艺可分为干法清洗和湿法清洗 在实际生产过程中一般将湿法和干法两种方法结合使用, 目前 90% 以上的清洗步骤以湿法工艺为主 在湿法清洗工艺路线下, 目前主流的清洗设备主要包括单片清洗设备 槽式清洗设备 组合式清洗设备和批式旋转喷淋清洗设备等, 其中以单片清洗设备为主流 清洗技术分类及占比情况 ( 按清洗原理 ) 干法清洗, 10% 清洗设备分类 设备种类清洗方式应用特点 单片清洗设备 旋转喷淋, 兆声波清洗, 二流体清洗, 机械刷洗等 具有极高的工艺环境控制能力与微粒去除能力, 有效解决晶圆之间交叉污染的问题 ; 每个清洗腔体内每次只能清洗单片晶圆, 设备产能较低 干法清洗 湿法清洗, 90% 干法工艺指不使用化学试剂的清洗技术 主要包含等离子清洗 气相清洗等 湿法清洗 湿法清洗采用特定的化学药液和去离子水, 对晶圆表面进行无损伤清洗 主要包括 RCA 清洗法 超声清洗等 槽式清洗设备 组合式清洗设备 批式旋转喷淋清洗设备 溶液浸泡, 兆声波清洗等 溶液浸泡 + 旋转喷淋组合清洗 旋转喷淋 清洗产能高, 适合大批量生产 ; 但颗粒, 湿法刻蚀速度控制差 ; 交叉污染风险大 产能较高, 清洗精度较高, 并可大幅降低浓硫酸使用量 ; 产品造价较高 相对传统槽式清洗设备, 批式旋转设备可实现 120ºC 以上甚至达到 200ºC 高温硫酸工艺要求 ; 各项工艺参数控制困难, 晶圆碎片后整个清洗腔室内所有晶圆均有报废风险 资料来源 : 公开资料前瞻产业研究院整理

40 3.4.2 清洗设备分类 : 清洗步骤贯穿全产业链 清洗设备直接影响集成电路的成品率, 是贯穿半导体产业链的重要环节, 在单晶硅片制造 光刻 刻蚀 沉积等关键制程及封装工艺中均为必 要环节, 约占所有芯片制造工序步骤 30% 以上, 且随着节点的推进, 清洗工序的数量和重要性会继续提升, 清洗设备的需求量也将相应增加 逻辑设计电路设计 CAD 工艺设计光罩制作 多晶硅 芯片设计 拉晶 材料清洗 单晶硅片 氧化 光刻 光罩 单晶硅片制造 切割研磨抛光抛光后清洗清洗 刻蚀 清洗 化学冲洗溅射保护层 刻蚀离子注入光阻去除 WAT 测试 晶圆制造 晶圆制造过程清洗包括 : 扩散前清洗刻蚀后清洗离子注入后清洗去胶清洗成膜前 / 后清洗机械抛光后清洗等 封装过程清洗包括 : TSV 清洗 UBM/RDL 清洗键合清洗等 封装 打磨 芯片封装 切割 晶圆点测 IC 测试 老化检验 客户 芯片测试 资料来源 : 国元证券前瞻产业研究院整理 半导体制程中的清洗工艺环节

41 3.4.3 清洗设备发展现状 : 行业规模波动幅度较大 根据 Gartner 统计数据,2018 年全球半导体清洗设备市场规模为 亿美元,2019 年和 2020 年受全球半导体行业景气度下行的影响, 有所下降, 分别为 亿美元和 亿美元, 预计 2021 年随着全球半导体行业复苏, 全球半导体清洗设备市场将呈逐年增长的趋势,2024 年预计全球半导体 清洗设备行业将达到 亿美元 年全球半导体清洗设备市场规模及预测 ( 单位 : 亿美元 ) E 2024E 资料来源 :Gartner 前瞻产业研究院整理

42 3.4.4 清洗设备发展现状 : 马太效应明显行业集中度较高 目前, 全球半导体清洗设备市场主要由 Screen( 日本迪恩士 ) TEL( 日本东京电子 ) Lam Research( 美国拉姆研究 ) 和 SEMES( 韩国 ) 和拉 姆研究等日美韩企业瓜分 根据 Gartner 数据显示,2018 年全球排名前四的企业合计占据约 98% 的市场份额, 行业马太效应显著, 市场高度集中 ; 其 中日本厂商迪恩士以市占率 45.1% 处于绝对领先地位, 而国内清洗设备龙头盛美半导体市占率仅为 2.3% 2018 年全球半导体清洗设备竞争格局 ( 单位 :%) Lam Research ( 美国拉姆研究 ), 12.5% 盛美, 2.3% SEMES( 韩国 ), 14.8% Screen( 日本迪恩士 ), 45.1% 资料来源 :Gartner 前瞻产业研究院整理 TEL( 日本东京电子 ), 25.3%

43 3.4.5 清洗设备发展现状 : 国内生产企业屈指可数 目前, 中国大陆能提供半导体清洗设备的企业较少, 主要包括盛美股份 北方华创 (002371) 芯源微(688037) 以及至纯科技 (603690) 四家公司 此外, 据中国国际招标网统计, 在芯片和集成电路制造厂商长江存储 华虹无锡 上海华力二期项目共累计累计采购的 200 多台清洗设备中, 按中标数量对供应商排序, 依次是 DNS 盛美股份 LAM TEL 以及北方华创, 所占份额依次是 48% 20.5% 20% 6% 和 1%, 盛美股份在国产清洗设备供应商中排名第一 可见, 盛美股份是国内半导体清洗设备行业龙头企业, 未来其市场发展空间较大, 有望打破外企垄断, 扩大市场份额 中国半导体清洗设备招标采购份额 ( 单位 :%) 1.0% 0.5% 20.5% 4.0% 6.0% 48.0% Screen 泛林半导体 TEL 其他盛美半导体北方华创沈阳芯源 20.0% 国内企业 中国半导体清洗设备企业相关产品及相关技术分析 ( 单位 : nm) 企业名称主要清洗设备产品已具备技术 (nm) 在研技术 (nm) 盛美半导体 单片清洗机单片 槽式组合清洗设备 全自动槽式清洗设备等 /7 北方华创槽式清洗机 至纯科技槽式 & 单片清洗机 芯源微 单片清洗机 全自动 SCRUBBER 清洗机 KS-M300 半自动机台 资料来源 : 中国国际招标网 & 公司公报及官网前瞻产业研究院整理

44 3.5.1 封装设备分类 典型的半导体封装工艺流程为 : 划片 装片 键合 塑封 去飞边 电镀 打印 切筋和成型 外观检查 成品测试 包装出货 与封装 流程对应的, 整个封装设备包括切割减薄设备 划片机 贴片机 固化设备 引线焊接 / 键合设备 塑封及切筋设备等 半导体封装工艺流程及设备分类 晶圆 磨片 ( 减薄机 ) 切割 ( 划片机 ) 贴片 ( 贴片机 ) 切筋成型 ( 切筋成型机 ) 塑封 ( 塑封机 ) 引线焊接 ( 焊接机 ) 银浆固化 ( 固化设备 ) 资料来源 : 前瞻产业研究院整理

45 3.5.2 封装设备发展现状 : 行业规模占全球比重持续上涨 据 SEMI 数据显示,2018 年全球封装设备市场规模约为 42 亿美元, 另外根据 VLSI 数据, 半导体设备中封装设备约占 7% 假设该占比较稳定, 结合 SEMI 最新数据, 可估算得到 2019 全球封测设备市场空间约为 亿美元,2020 年有望达到 亿美元 同时, 根据 SEMI 数据, 国内封装设备在半导体设备中的比重同样约为 7%,2019 年中国大陆半导体封装设备市场规模约为 9.4 亿美元, 预计到 2020 年中国大陆半导体封测设备规模约为 10.4 亿美元 从中国在全球的比重来看, 年我国半导体设备规模占全球比重不断上升,2019 年约为 22.5% 结合封测设备细分产品结构来看, 根据 VLSI 数据,2018 年全球半导体封装设备中的贴片机 划片机 / 检测设备 引线焊接设备 塑封 / 切筋成型设备等占比较大, 分别约为 30% 28% 23% 18% 年全球及中国半导体封装设备市场规模及比重分析 ( 单位 : 亿美元,%) 2018 年全球半导体封装设备细分产品占比 ( 单位 :%) % 22.5% 24.4% 30% 28% 26% 24% 22% 塑封 / 切筋成型设备, 18% 电镀设备, 1% 贴片机, 30% 20% % 16% 14% E 12% 10% 引线焊接设备, 23% 中国半导体封装设备市场规模 ( 单位 : 亿美元 ) 全球半导体封装设备市场规模 ( 单位 : 亿美元 ) 中国半导体封测设备占全球比重 (%) 划片机 / 检测设备, 28% 资料来源 :SEMI VLSI 前瞻产业研究院整理

46 3.5.3 封装设备发展现状 : 市场仍以国际企业占据 目前, 在全球封装设备领域的代表性企业包括 ASM Pacific K&S Shinkawa Besi 等, 同时, 我国半导体封装设备市场同样被这些国际企业占 据, 且国产化程度很低 全球半导体装备市场代表性企业一览 封装设备种类国际代表性企业国内代表性企业 引线焊接设备 ASM Pacific K&S Shinkawa Besi 等中电科 45 所 深圳翠涛等 贴片机 Besi ASM Pacific K&S Shinkawa 等苏州艾科瑞思 大连佳峰等 划片机 ASM Pacific K&S Disco 等中电科 45 所等 塑封设备 Town YAMADA Besi ASM Pacific 等 富士三佳等 切筋成型设备 Besi ASM Pacific 等 / 资料来源 : 前瞻产业研究院整理

47 3.6.1 测试设备分类 : 测试机比重居于首位 测试设备贯穿于集成电路生产制造流程 ( 包括 IC 设计 制造以及封测 ) 晶圆在封装前和封装过程中需进行多次多种测试, 如封装前的晶圆测 试 (WAT 测试 ) 在封测过程中需进行 CP 测试 封装完成后需进行 FT 测试等, 所涉及设备包括探针台 测试机 分选机等 半导体测试设备分类 半导体测试对比分析 测试机 WAT 测试 CP 测试 FT 测试 用于检测芯片功能和性能, 包含测试功能模块 测试精度 响应速度 应用程序定制化 平台可延展性以及测试数据的存储 采集和分析等方面 测试机细分产品 :SOC 测试机 存储测试机 模拟测试机以及大功率测试机等 ( 按照测试芯片种类 ) 探针台 实现被测晶圆 / 芯片与测试机功能模块的连接 晶圆可接受度测试测试 晶圆级 主要是晶圆制造工艺结束后测试 ( 少部分也用在制造工艺过程中 ) 晶圆级 晶圆测试 封测工艺之前的测试 芯片级 成品测试 封测工艺完成之后的测试 分选机 实现被测晶圆 / 芯片与测试机功能模块的连接 监控工艺稳定性 检测巟工艺窗口 判断出货标准 测试机 + 探针台 筛选来料良率, 减少封装成本 测试机 + 探针台 筛选最终出货物料良率 测试机 + 分选机 资料来源 : 前瞻产业研究院整理

48 3.6.2 测试设备分类 : 测试机比重居于首位 从产品的细分结构来看, 根据 SEMI 数据,2018 年我国半导体测试设备中测试机的占比达到 63.1%, 居于首位 ; 其次分选机和探针台分别占比 17.4% 和 15.2% 值得注意的是, 在测试机的细分产品中, 存储测试机和 SOC 测试机占据主要份额, 其占比分别达到 43.8% 和 23.5% 2018 年中国半导体测试设备细分产品结构 ( 单位 :%) 其他, 4.3% 存储测试机, 43.8% 探针台, 15.2% 分选机, 17.4% 测试机, 63.1% SOC 测试机, 23.5% 其他, 0.3% 分离器件测试机, 6.8% 模拟测试机, 12.0% RF 测试机, 0.9% 数字测试机, 12.7% 资料来源 :SEMI 前瞻产业研究院整理

49 3.6.3 测试设备发展现状 : 行业规模保持增长 根据 SEMI 数据, 国内测试设备在半导体设备行业的的比重约为 10%, 据此进行测算得到,2019 年中国大陆半导体测试设备市场规模约为 亿美元, 并预计到 2020 年中国大陆半导体测试设备规模约为 15 亿美元 同时从全球角度来看, 据 Gartner 数据, 年全球半导体测试设备的市场规模呈逐年增长态势,2018 年行业规模为 亿美元, 前瞻根据市场增速进行估算,2019 年全球半导体测试设备规模约为 65 亿美元 年中国半导体测试设备市场规模情况 ( 单位 : 亿美元 ) 年全球半导体测试设备市场规模情况 ( 单位 : 亿美元 ) E E 资料来源 :SEMI&Gartner 前瞻产业研究院整理

50 3.6.4 测试设备发展现状 : 全球企业集中度较高 从企业竞争格局来看, 目前全球半导体测试设备产业主要呈现美商 Teradyne 日商 Advantest TEL 等国际企业垄断的局面 ; 而中国集成电路测 试设备市场份额同样被国外企业瓜分, 本土企业虽然与国际龙头相比在规模和技术方面仍然存在一定差距, 但是近几年进步较大, 市场份额逐步提 升, 相继涌现出华峰测控 长川科技等企业 全球测试机企业竞争格局 ( 单位 :%) 全球分选机企业竞争格局 ( 单位 :%) 全球探针台企业竞争格局 ( 单位 :%) 其他, 6% Xcerra, 10% Cohu, 21% 其他, 12% Teradyne, 51% Advantest, 33% 其他, 41% Xcerra, 16% ACCRTECH, 42% TEL, 46% 长川科技, 2% 台湾鸿劲, 8% Advantest, 12% 资料来源 : 关业证券前瞻产业研究院整理

51 04 半导体设备行业发展趋势分析 半导体设备行业发展痛点 半导体设备行业发展趋势 半导体设备行业发展前景

52 4.1 半导体设备行业发展痛点 融资环境仍不成熟 高端技术和人才的缺乏 国产核心零部件配套能力薄弱 国外出口限制 半导体设备行业投资周期长, 研发投入大, 是典型的资本密集型行业, 为保持公司的技术优势, 需要长期 持续不断的研发投入 目前行业内企业主要资金来源于股东的投入, 融资渠道单一限制了国内产业的发展 半导体设备行业属于典型技术密集型行业, 对于技术人员的知识背景 研发能力及操作经验积累均有较高要求 虽然近年来国家对半导体设备行业给予鼓励和支持, 但由于研发起步较晚, 业内人才和技术水平仍然较为缺乏, 在一定程度上制约了行业的快速发展 国产半导体专用设备总体规模还不够大, 对零部件市场拉动时间较短, 半导体专用设备零部件配套能力较弱, 导致半导体专用设备的制造成本偏高 作为战略性产业, 全球主要发达国家越来越重视半导体产业的发展, 为保持其领先地位, 国际半导体巨头仍会严格控制关键技术设备 材料 高端设计和工艺技术等向我国的出口, 对高端技术转移的限制仍将继续, 国内产业面临的技术挑战仍将长期存在, 在一定程度上阻碍了我国半导体技术和市场的发展

53 4.2 半导体设备行业发展趋势 设备将向高精度化与高集成化方向发展 各类技术等级设备并存发展 国产化进程加快 随着半导体技术的不断进步, 半导体器件集成度不断提高 一方面, 芯片特征尺寸不断缩小, 由 12 微米 微米 (1965 年 年 ) 到 65 纳米 -22 纳米 (2005 年 年 ), 且还在向更小的方向发展 ; 另一方面硅片尺寸却不断扩大, 主流产品硅片尺寸已经从 4 英寸 6 英寸, 发展到现阶段的 8 英寸 12 英寸 由于芯片的用途极其广泛, 性能要求及技术参数等差异较大, 各类性能 用途芯片大量并存并应用, 这也决定了不同的芯片产线需配置相匹配的 技术等级及性价比相当的半导体设备 即使在同一产线上, 复杂程度不同的工艺环节也是根据其实际需要搭配使用各类技术等级的设备 因此, 高 中 低各类技术等级的生产设备均有其对应市场空间, 并存发展 近年来, 在国家政策的拉动和支持下, 我国半导体产业快速发展, 整体实力显著提升, 设计 制造能力与国际先进水平不断缩小, 封装测试技术逐步接近国际先进水平, 但半导体基础材料研究和先进设备制造仍然相对薄弱 中国半导体行业要实现从跟踪走向引领的跨越, 装备产业将是重要环节 发展国产半导体装备具有重要战略意义, 半导体设备国产化将大幅降低我国芯片制造商的投资成本, 提高我国芯片制造竞争力

54 4.3 半导体设备行业发展前景 随着国际产能不断向我国大陆地区转移, 英特尔 (Intel) 三星(Samsung) 等国际大厂陆续在我国大陆地区投资建厂, 同时在集成电路产业投资基金的引导下, 我国大陆集成电路生产线建设热情高涨, 我国大陆地区对半导体设备的需求巨大 结合全球半导体设备发展趋势以及我国半导体设备国产替代以及下游需求旺盛的多重作用, 未来几年, 我国半导体设备行业仍将保持高速增长, 预计 年, 我国半导体设备行业市场规模将保持在 15% 左右的复合增长率稳步提升, 到 2025 年, 全国半导体设备市场规模将达到 298 亿美元 年中国半导体设备行业市场规模预测 ( 单位 : 亿美元 ) E 2021E 2022E 2023E 2024E 2025E 资料来源 : 前瞻产业研究院整理

55 投资 / 决策你需要前瞻的眼光! 中国产业咨询领导者 政府产业规划资深智库 企业产业投资专业顾问 产业研究 产业规划 园区规划 持续聚焦细分产业研究 22 年 复合型专业团队 首创 招商前置规划法 1300 余项目案例 + 独有 园区招商大数据 产业地产 特色小镇 田园综合体 全产业链一站式服务 精准产业资源导入 领先申报经验 90+ 小镇项目案例 规划 + 申报 + 融资 + 运营一体服务 扫码获取更多免费报告

56 看懂未来新十年! 全球产业分析与行业深度问答聚合平台 解读全球产业变迁趋势 深度把握全球经济脉动 行业报告免费下载 资讯干货一手掌控 行业数据精准把握 扫码下载 APP 资深研究员有问必答 全球产业研究全面覆盖 每日产经动态实时更新

57 前瞻产业研究院 前瞻产业研究院是中国产业咨询领导者! 隶属于深圳前瞻资讯股份有限公司, 于 1998 年成立于北京清华园, 主要致力于为企业 政府 科研院所提供产业咨询 产业规划 产业升级转型咨询与解决方案 前瞻经济学人让你成为更懂趋势的人前瞻经济学人 APP 是依托前瞻产业研究院优势建立的产经数据 + 前沿科技的产经资讯聚合平台 主要针对各行业公司中高管 金融业工作者 经济学家 互联网科技行业等人群, 提供全球产业热点 大数据分析 行研报告 项目投资剖析和智库 研究员文章 报告制作 : 前瞻产业研究院 联系方式 : 主创人员 : 韦婷 / 陈慧 / 周小松 更多报告 : 产业规划咨询 :

行业研究报告_无重点公司

行业研究报告_无重点公司 证券研究报告 行业研究 / 深度研究 2016 年 04 月 28 日 行业评级 : 电子元器件增持 ( 维持 ) 集成电路 Ⅱ 增持 ( 维持 ) 张騄执业证书编号 :S0570515060001 研究员 021-28972073 lu.zhang@htsc.com 相关研究 1 安洁科技 (002635): 业绩稳步成长, 逐步切入智能汽车市场 2016.04 2 欣旺达 (300207): 业绩符合预期,

More information

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半

目 录 1 国内半导体迎来新投资周期, 半导体设备市场持续向好 中国 IC 市场是全球第一大市场 半导体设备种类繁多 市场广阔, 国产替代空间巨大 国内半导体迎来新投资周期, 中国半导体设备行业持续向好 中国 VS 日本 : 国内半 218 年 4 月 1 日中小盘研究 半导体研究系列之二 ( 设备 ): 星星之火, 燎原之势渐起 中小盘伐谋主题伐谋 - 中小盘主题报告 孙金钜 ( 分析师 ) 吴吉森 ( 联系人 ) 21-68866881 sunjinju@xsdzq.cn 证书编号 :S2851812 21-68865595 wujisen@xsdzq.cn 国内半导体迎来新投资周期, 半导体设备市场持续向好 : 当前我国集成电路产品对外依存度较高,

More information

上海市机器人行业协会信息 2016 年第 10 期 ( 总第 18 期 ) 编者按 : 聚焦安全协作创新未来推动机器人产业健康发展 加快上海科创中心建设, 助力产业升级 打造上海机器人生态圈和产业升级高地的战略思考 ( 一 ) 创新驱动, 深入探索机器人产业发展新模式 ( 二 ) 协同创新, 实现核心零部件和高端产品重大突破 ( 三 ) 开发共享, 构建长三角机器人产业发展新高地 ( 四 )

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 中投证券电子团队, 行业趋势热点前瞻解析系列之五 大陆引领全球半导体景气度提升, 设备长期景气提升 电子首席分析师 : 孙远峰 (S0960516020001) 参与人 : 张 耿张 磊 (S0960116030023) 琛 (S0960115100022) 雷 (S0960116060029) 中国中投证券有限责任公司研究总部 2016 年 8 月 11 日 主要内容 1 半导体设备用在哪里? 2

More information

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C

<4D F736F F F696E74202D D C4EAC8ABC7F2BCB0D6D0B9FAB0EBB5BCCCE5C9E8B1B8D0D0D2B5D1D0BEBFB1A8B8E6205BBCE6C8DDC4A3C 2011-2012 年全球及中国半导体设备行业研究报告 2011 年半导体厂家资本支出 (CAPEX) 大约 658 亿美元, 比 2010 年增加了 14.3%, 其中设备支出大约 440 亿美元, 比 2010 年增加 80% 8.0% 预计 2012 年设备支出大约 389 亿美元, 其中晶圆厂 (Wafer Fab) 设备 313 亿美元, 比 2011 年均有所下滑 主 要原因是 2010

More information

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行.

行业深度 机械设备 目录索引 一 第三次产业迁移, 新周期已然到来 摩尔定律, 半导体工业不断突破制造极限 大浪淘沙, 半导体产业成熟的全球分工模式 山雨欲来, 第三次产业转移, 中国迅速崛起 二 行业投资加速, 半导体设备景气上行. 行业深度 机械设备证券研究报告 半导体设备产业研究 ( 一 ) 半导体设备 : 芯芯 之火, 可以燎原 核心观点 : 半导体产业进入成熟期, 第三次产业转移, 中国迅速崛起全球半导体产业进入 21 世纪后日趋成熟, 行业增速逐步放缓, 但地区结构却在发生变化 2016 年国内集成电路销售额 4335 亿元, 近 14 年年均复合增长率高达 22%, 中国半导体产业持续扩张 历史上半导体行业经历了两次产业转移,

More information

东吴证券研究所

东吴证券研究所 证券研究报告 公司研究 机械设备公司点评报告北方华创 (002371) 半导体设备龙头, 有望受益设备国产化机遇增持 ( 首次 ) 投资要点 北方华创 : 我国半导体设备规模最大 产品线最全的公司北方华创是中国规模最大 产品体系最丰富 涉及领域最广的高端半导体工艺设备供应商 公司由七星电子和北方微电子合并而来, 重组后的北方华创秉承了七星电子和北方微电子的技术资源和研发实力, 实现充分资源整合和优势互补

More information

日本学刊 年第 期!!

日本学刊 年第 期!! 日本对华直接投资与贸易增长变化分析 裴长洪 张青松 年日本丧失中国最大贸易伙伴的地位 这与日本 年以来对华投资增速放缓 占外商对华投资中的比重下降有着密切关系 只要日资企业继续提升投资结构和技术水平 从边际产业转向比较优势产业 从劳动密集型转向资本和技术密集型 就能带动设备和产品对中国的出口 使中国从日本进口增长速度和规模始终保持领先地位 这样 日本仍有可能恢复中国最大贸易伙伴的地位 对华直接投资

More information

XX公司

XX公司 行业报告 智能制造行业专题报告 ( 四 ) 半导体设备 : 十数年终日乾乾, 大潮涌起或跃在渊 机械 2018 年 9 月 28 日 行业专题报告 证券研究报告 中性 ( 维持 ) 行情走势图 20% 0% -20% 相关研究报告 行业专题报告 ( 三 )* 机械 * 高功率激光器国产化加速, 激光加工设备成长动能足 2018-06-19 行业专题报告 ( 二 )* 机械 * 运动控制系统 : 智能装备的大脑,

More information

国产半导体设备领跑者, 进口替代空间广阔 北方华创 ( SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 亿元, 同比上升

国产半导体设备领跑者, 进口替代空间广阔 北方华创 ( SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 亿元, 同比上升 国产半导体设备领跑者, 进口替代空间广阔 北方华创 (002371.SZ) 核心观点 国产半导体设备领跑者, 在手订单充足 北方华创是国产半导体设备制造商领跑者, 现拥有半导体装备 真空装备 新 能源锂电装备及精密电子元器件四个板块业务 2019H1 公司实现营业收入 16.55 亿元, 同比上升 18.63%; 归属于上市公司股东的净利润 1.29 亿元, 同 比上升 8.03% 2019H1 公司存货

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13

2 目录 投资要点 为什么说半导体设备并不是主题投资机会? 从国际设备龙头大陆新接订单与股价持续创历史新高说起 国际龙头设备大陆业绩崛起源于建厂潮带来的资本支出中枢提升 半导体设备市场大蛋糕必将有中国厂商一席之地... 13 [Table_MainInfo] 行业研究 / 信息设备 / 电子元器件 行业深度报告 证券研究报告 2016 年 09 月 12 日 [Table_InvestInfo] 投资评级增持维持 市场表现 [Table_QuoteInfo] 7681.50 6609.81 5538.11 4466.42 3394.73 电子元器件 2323.04 2015/8 2015/11 2016/2 2016/5

More information

untitled

untitled ... 1... 1... 3... 4... 6... 6... 6... 8... 8... 9... 10... 12... 12... 12... 14... 14... 15... 15... 15... 16... 18... 18... 18... 20... 22... 23 I ... 25... 26... 27... 28... 30... 30... 31... 33...

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 砥砺前行, 开启国产离子注入机新篇章 曾晓斌 北京中科信 2018 年 03 月 16 日 目 录 一 新形势下离子注入机发展需求二 国产离子注入机发展现状三 新一代中束流离子注入机四 发展规划 1 集成电路产业作为国民经济和社会发展的战略性 基础性 先导性产 业, 关乎国家核心竞争力和国家安全 集成电路装备作为构筑集成电路产业的基石, 是核心竞争力的重要组 成部分 中国集成电路产业进入新时代 习近平中国特色社会主义新时代,

More information

幻灯片 1

幻灯片 1 新机遇, 新挑战, 新格局 ---- 加快半导体设备的本地化制造 张国铭 北京七星华创电子股份有限公司 北京电子制造装备行业协会 2015 年 3 月 18 日 目录 一 国际半导体产业的发展趋势 二 国产装备产业的机遇与挑战 三 七星华创的技术创新概况 销售额 ( 亿美元 ) 一 国际半导体产业的发展趋势 1 产业概况 全球半导体产业稳步增长 : 据 Gartner 统计,2014 年全球半导体总营收为

More information

行业报告

行业报告 HeaderTable_User 15/06 15/07 15/08 15/09 15/10 15/11 15/12 16/01 16/02 16/03 16/04 810267106 849307396 1013244114 HeaderTable_Industry 13020500 看好 investratingchange.sa me 173833581 电子行业 半导体大机遇 上游设备与材料

More information

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备

目录 1 集成电路国产化迫在眉睫, 装备需求大周期来临 自给能力严重不足, 缺芯之痛 亟待解决 国家意志推动, 国内芯片产能将大幅提升 产能扩张带动设备投资需求提升, 国产设备商迎来发展契机 进口替代遵循 先易后难 路径, 测试设备 国产 IC 测试装备先锋, 步入加速成长周期 方正证券研究所证券研究报告 长川科技 (300604) 机械设备行业 公司研究 公司深度报告 2018.02.06/ 强烈推荐 ( 调升 ) 首席分析师 吕娟 执业证书编号 : S1220517020002 TEL: 021-68388509 E-mail lvjuan@foundersc.com 联系人 : 李远剑 TEL: 021-50432679

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 投资评级 : 增持 柴油车尾气催化剂市场将 驱动业绩加速增长 贵研铂业深度报告 证券研究报告 2013 年 12 月 19 日姓名 : 桑永亮 ( 分析师 ) 邮件 :sangyongliang@gtjas.com 电话 :021-38676052 证书编号 :S0880511010034 姓名 : 刘华峰 ( 研究助理 ) 邮件 :liuhuafeng@gtjas.com 电话 : 021-38674752

More information

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) -

财务摘要 证券研究报告 ( 百万元 ) 2015A 2016E 2017E 2018E 主营收入 (+/-) 净利润 (+/-) - 04/27/15 06/27/15 08/27/15 10/27/15 12/27/15 02/27/16 深度报告 七星电子 (002371) 大行业下崛起中的龙头企业 七星电子深度报告 报告日期 :2016 年 4 月 26 日 行业公司研究 半导体行业 报告导读 : 杨云执业证书编号 :S0860510120006 :021-80108643 :chenjunjie@stocke.com.cn

More information

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头,

点推荐关注国内优质的半导体设备供应商 : 长川科技 ( 国产测试设备龙头, 进口替代最先受益 ) 北方华创( 半导体核心装备龙头, 国产化趋势下加速崛起 ) 及晶盛机电 ( 单晶硅设备龙头, 半导体及光伏驱动成长 ) 投资建议 : 随着全球半导体行业景气度持续提升, 我国半导体行业保持高速发展势头, 机械设备行业行业报告行业深度报告 投资评级 : 推荐 ( 首次 ) 报告日期 :2018 年 06 月 26 日分析师曲小溪 010-88366060-8712 Email:quxx@cgws.com 执业证书编号 :S1070514090001 张如许 0755-83559732 Email:zhangruxu@cgws.com 执业证书编号 :S1070517100002 联系人 ( 研究助理

More information

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现

目录 1. 全球半导体产业方兴未艾, 中国市场华丽亮眼 全球半导体行业平稳发展, 中国表现亮眼 中国大陆半导体设备销售占比较小, 但增速较快 从美日到韩台再到中国, 大陆承接第三次半导体产业转移 第一次产业转移 : 关键技术实现 [Table_MainInfo] / 机械设备发布时间 :218-2-27 证券研究报告 / 行业深度报告 国内半导体行业风口来临, 产业发展高增速可期 优于大势 上次评级 : 优于大势 报告摘要 : [Table_Summary] 全球半导体行业稳定向好, 中国市场如火如荼 综合来看, 三因素 决定我国半导体行业的高速发展,1) 国内半导体销售占比和增速远 高于全球平均水平, 半导体市场消费基数维持高位

More information

公司研究报告

公司研究报告 证券研究报告 公司研究 / 首次覆盖 2017 年 05 月 11 日机械设备 / 专用设备 Ⅱ 投资评级 : 买入 ( 首次评级 ) 当前价格 ( 元 ): 48.48 合理价格区间 ( 元 ): 60~65 章诚 执业证书编号 :S0570515020001 研究员 021-28972071 zhangcheng@htsc.com 张騄 执业证书编号 :S0570515060001 研究员 021-28972073

More information

石油炼化会后刊邮件版

石油炼化会后刊邮件版 2015 中国 ( 广饶 ) 2015 CHINA(GUANGRAO) INTERNATIONAL REFINING & PETROCHEMICAL EXPO 2015 中国 ( 广饶 ) 01/02 专刊 展会简介 展示范围 : 2015 中国 ( 广饶 ) 03/04 专刊 展会背景 2015 中国 ( 广饶 ) 05/06 专刊 领导致辞 2015 中国 ( 广饶 ) 07/08 专刊 领导视察及展会现场实况

More information

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9>

<4D F736F F D20B9FAB1EACEAFD7DBBACF3739BAC5A3A8B9D8D3DAD3A1B7A2A1B6B1EAD7BCBBAFCAC2D2B5B7A2D5B9A1B0CAAEB6FECEE5A1B1B9E6BBAEA1B7B5C4CDA8D6AAA3A9> 标准化事业发展 十二五 规划 〇 目 录 一 发展环境 1 2 二 指导思想和发展目标 ( 一 ) 指导思想 3 ( 二 ) 发展目标 4 三 推进现代农业标准化进程 5 6 四 提升制造业标准化水平 7 五 拓展服务业标准化领域 8 ( 一 ) 生产性服务业 9 10 ( 二 ) 生活性服务业 六 加强能源资源环境标准化工作 ( 一 ) 能源生产与利用 11 ( 二 ) 资源开发与综合利用 ( 三

More information

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材

正文目录 一 设备和材料是半导体产业的上游核心环节 设备和材料在半导体产业链中位于上游, 是半导体制造所需的工具和原料 半导体生产工艺复杂, 对半导体设备和材料的要求极高 半导体设备和材料规模合计超 800 亿美元, 呈寡头垄断局面 设备和材 证券研究报告 行业专题报告 信息技术 电子 推荐 ( 维持 ) 半导体设备和材料的国产化机遇 2016 年 05 月 24 日半导体行业深度专题之五 上证指数 2844 行业规模 占比 % 股票家数 ( 只 ) 164 5.8 总市值 ( 亿元 ) 17929 4.2 流通市值 ( 亿元 ) 12564 3.7 行业指数 % 1m 6m 12m 绝对表现 -6.2-15.9-22.2 相对表现 -3.0

More information

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月

1. 公告 公司拟通过发行股份购买资产的方式收购北京电控 七星集团 圆合公司和微电子所合计持有的北方微电子 100% 股权 以 2015 年 11 月 30 日为审计评估基准日, 标的资产的预估值为 93, 万元 发行股份价格为 元 / 股 北京电控与七星集团锁定 36 个月 Tabl e_title Tabl e_baseinfo 2015 年 12 月 27 日 七星电子 (002371.SZ) 半导体究竟什么最赚钱 公告 :1 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权 发行股份价格为 17.49 元 / 股 2 上市公司拟通过向国家集成电路基 金 京国瑞基金和芯动能基金非公开发行股份募集配套资金 点评 : 我们在 14 年年中推出行业深度报告

More information

Slide 1

Slide 1 做大做强中国集成电路产业链 陆郝安博士 SEMI 全球副总裁, SEMI 中国区总裁 2015 年 10 月 29 日, 北京国际微电子论坛 主要内容 全球半导体产业发展趋势 中国半导体产业 : 挑战中的新机遇 做大做强中国集成电路产业链 全球半导体产业发展趋势 应用推动半导体产业发展 Mobile Computing, Internet of Things PC Mobile Phone 半导体

More information

广东白皮书(加水印).indd

广东白皮书(加水印).indd 中国医疗设备 杂设备 杂志社 1 2 中国国医疗设备 杂设备 杂志社 疗设备 刘曼芳 中国医疗设备 杂备 杂志社 3 中国医疗设备 杂志社 4 中国医疗设备医疗设备 杂志社 中国医疗设备行业研究中心负责本次调查的数据统计及分析 5 6 中国医疗设备 杂设备 杂志社 中国医疗设备 杂设备 杂志社 2013 年度从配送服务 产品保证 维修服务 投诉处理四个方面进行调研 7 中国医疗设备 杂志社 8 中国医疗设备

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

Sector ― Subsector

Sector ― Subsector 机械设备 证券研究报告 板块最新信息 增持 公司名称 股票代码 收盘价 评级 北方华创 002371.CH 37.45 买入 晶盛机电 300316.CH 20.92 买入 长川科技 300604.CH 56.65 买入 至纯科技 603690.CH 19.49 买入 资料来源 : 万得, 中银证券以 2017 年 12 月 19 日当地货币收市价为标准 主要催化剂 / 事件 下游中芯国际等厂商技术持续突破

More information

!!

!! 涂正革 肖 耿 本文根据中国 个省市地区 年规模以上工业企业投入 产出和污染排放数据 构建环境生产前沿函数模型 解析中国工业增长的源泉 特别是环境管制和产业环境结构变化对工业增长模式转变的影响 研究发现 现阶段中国工业快速增长的同时 污染排放总体上增长缓慢 环境全要素生产率已成为中国工业高速增长 污染减少的核心动力 环境管制对中国工业增长尚未起到实质性抑制作用 产业环境结构优化对经济增长 污染减少的贡献日益增大

More information

untitled

untitled 1-1-1 1-1-2 1-1-3 1-1-4 1-1-5 1-1-6 1-1-7 1-1-8 1-1-9 1-1-10 1-1-11 1-1-12 1-1-13 1-1-14 1-1-15 1-1-16 1-1-17 1-1-18 1-1-19 1-1-20 1-1-21 1-1-22 1-1-23 King Express Technology Ltd SAIF II Mauritius(china

More information

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成

图 1-1 集成电路产业链示意图 根据中国半导体协会的数据可知,2017 年中国 IC 设计 IC 制造 IC 封测分别实现销售收入 亿元, 同比增长 26.1% 28.5% 20.8%, 占整个集成电路市场规模比例分别为 38% 27% 35% 与世界集成 文 / 兴泰资本杨淼 刘杨 一 集成电路产业概述集成电路也称为集成块 芯片, 在我国港台地区称为积体电路, 它的英文全称是 Integrated Circuit, 缩写为 IC, 是半导体行业的重要组成部分,2017 年全球份额占比高达 83% 集成电路是将晶体管 二极管等有源元件和电阻器 电容器等无源元件, 采用一定的工艺, 按照一定的电路互联, 集成在一块半导体单晶片上, 后封装在一个管壳内,

More information

nm 8 nm nm nm nm 8 nm 8 IC IC

nm 8 nm nm nm nm 8 nm 8 IC IC 100 100nm 8 nm 8 130 130-100 100nm nm 6 147 147 135 135 6 103 103 54 54 9 45 45 7 100 100nm 8 nm 8 IC IC 5 60 60 40 40 3500 3500 5 1600 1600mm mm X/Y/Z X/Y/Z 2200/400/400 2200/400/400mm mm 15 15m/min m/min

More information

广发报告

广发报告 2017 年 11 月 13 日证券研究报告 港股 TMT 策略报告 国内半导体产业迎来发展机遇期 行业评级 买入 报告日期 2017-11-13 报告摘要 : 全球半导体产业重回上行周期 半导体行业属于周期性行业, 与 GDP 增速 技术升级密切相关 随着人工智能 大数据 物联网 AR/VR 可穿戴设备等新兴信息技术领域应用的发展, 半导体行业重新步入了新一轮的景气周期 我国半导体产业起步较晚,

More information

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%,

产条件得以相对同业的大幅提升, 且具备 A 股唯一的集成电路设备企业投融资平台优势 设备领域同样具备 第 1 吃肉, 第 2 喝汤, 第 3 受伤 的充分竞争的局面, 优质企业市场集中度较高,2013 年全球半导体, 设计 制造 封测和设备排名前 3 位的企业集中度分别达到 39.4%,65.5%, 半导体设备稀缺平台, 格局优势凸显战略位置 68 方正证券研究所证券研究报告 TMT 首席分析师 : 段迎晟执业证书编号 :S1220514060002 E-mail:duanyingsheng@foundersc.com 七星电子 (002371) 公司投资价值分析报告 2015.03.02 推荐 半导体行业 联系人 : 孙远峰 Email:sunyuanfeng@foundersc.com 分析师

More information

准标网 免费下载

准标网  免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com 免费下载 准标网 www.zhunbiao.com

More information

广州市□□□(部门)2015年部门预算

广州市□□□(部门)2015年部门预算 广 州 铁 路 职 业 技 术 学 院 2015 年 部 门 预 算 目 录 第 一 部 分 广 州 铁 路 职 业 技 术 学 院 概 况 一 部 门 主 要 职 能 二 部 门 预 算 单 位 构 成 三 部 门 人 员 构 成 第 二 部 分 2015 年 部 门 预 算 安 排 情 况 说 明 第 三 部 分 2015 年 部 门 预 算 报 表 一 收 支 预 算 总 表 二 收 入 预

More information

表 浙江每 万人中拥有各种文化程度的人数及居全国位次 表 年按不同学业完成情况的平均受教育年限

表 浙江每 万人中拥有各种文化程度的人数及居全国位次 表 年按不同学业完成情况的平均受教育年限 叶明德 孙胜梅 三普 四普 五普 资料显示 浙江省人口受教育程度偏低 在全国各省 市 区中处于中下水平 然而 改革开放 多年来 浙江省经济发展迅速 年全省国内生产总值由全国第 位跃至第 位 本文对受教育程度处于全国中下水平的浙江人口群体创造了全国一流经济业绩的现象进行了解释 并且认为 提高人口素质尤其是科学文化素质是浙江省全面建设小康社会 提前基本实现现代化进程中必须引起高度重视的战略性问题 浙江省

More information

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( );

中国社会科学 年第 期,,. % 1,,,. %,. % 2,, %, ;,,,, 3,,,, 4 ( ) ( ) ( ) (),, %, 5,,,,,,,,, 1 :,, ://.. / / - / /., 2 :,, 3 :, 4,,, 5 ( ),,, ( ),, ( ), ( ), ( ); 近年来农民工的经济状况和社会态度 李培林李炜 : 改革开放 年来, 农民工作为中国产业工人的组成部分, 成为支撑中国经济持续快速增长的重要力量 基于 年和 年 中国社会状况综合调查 的数据, 对近年来 特别是在国际金融危机背景下农民工的经济状况和社会态度进行分析, 结果显示, 年数据反映出农民工在收入水平较低 劳动强度较高的情况下, 却保持着较为积极的社会态度 ; 近两年的新变化是, 农民工的收入水平和社会保障水平都有了显著的提高,

More information

中国在拉美的经济存在 : 大不能倒? 第 106 期 2

中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 106 2014 年 12 月 3 日 中国在拉美的经济存在 : 大不能倒? 1 中国在拉美的经济存在 : 大不能倒? 第 106 期 2 第 106 期 中国在拉美的经济存在 : 大不能倒? 3 中国在拉美的经济存在 : 大不能倒? 第 106 期 图 1 2008 年金融危机前后拉美和加勒比地区出口贸易增幅对比 ( 单位 :%) -23 世界

More information

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网

关卫和等 我国压力容器行业 检测技术的应用和进展中国工业检验检测网 中国工业检验检测网 http://www.industryinspection.com 合肥通用机械研究院国家压力容器与管道安全工程技术研究中心 合肥 兰州兰石机械制造有限责任公司 兰州 技术是 世纪 年代末期发展起来的一项无损检测技术 在国外压力容器等 行业已得到了广泛的应用 自 年以来 随着国家质检总局特种设备安全监察局 号文的发布 技术在我国压力容器行业的应用有了突飞猛进的发展 年 月 日 固定式压

More information

目录 Contents 1.2014 年二季度全国企业年金基本情况一览表 2.2014 年二季度全国企业年金基金投资收益率情况表 3.2014 年上半年全国企业年金基金投资收益率情况表 4.2014 年上半年全国企业年金基金投资组合收益率分布情况表 5.2014 年二季度企业年金基金管理机构业务情况表 6.2014 年二季度集合计划基本情况一览表 7.2014 年二季度企业年金养老金产品情况一览表

More information

鱼虾蟹!鱼虾蟹游戏 H5手机小游戏系统源码开发定制

鱼虾蟹!鱼虾蟹游戏 H5手机小游戏系统源码开发定制 鱼虾蟹! 鱼虾蟹游戏 H5 手机小游戏系统源码开发定制 鱼虾蟹规则 http://basedz.com 鱼虾蟹! 鱼虾蟹游戏 H5 手机小游戏系统源码开发定制 来塑造大型软件公司的辉煌形象! 来塑造大型软件公司的辉煌形象! http://basedz.com/?p=637 骏飞掌上智慧智能科技 H5 软件 APP 游戏开发定制微信 :ffy990 常州掌上智慧智能科技有限公司成 2012 年 8 月,

More information

内 容 提 要

内 容 提 要 CEPEA 中国电子专用设备工业协会 行业简讯 二〇一八年第十期 10 月 18 日发 协会办公室编印 ( 总第 369 期 ) ( 行业内交流 ) 内容提要行业动态 2018 年上半年中国半导体设备经济运行分析与 2018 年展望根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计 :2018 年 1-6 月半导体设备完成销售收入 56.52 亿元, 同比增长 45.1%; 出口交货值完成

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36

2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 2008 中国生物技术发展报告 社 会 发 展 科 技 司 中华人民共和国科学技术部 中国生物技术发展中心 编著 北 2008.indd 1 京 2010-6-24 15:51:36 目 录 前言 第 1 篇 政策篇 1 一 国家有关部门制定相关规划 引导生物科技与产业快速发展与合理布局 3 二 地方政府积极响应 纷纷出台生物医药技术与产业发展规划 突出区域优势和特色 7 三 积极制定政策措施

More information

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7

目录 收购优秀半导体设备企业北方微电子... 1 半导体设备空间巨大, 国内企业机遇挑战并存... 2 半导体设备国际竞争格局较为集中... 2 中国设备市场空间巨大, 行业机遇挑战并存... 3 整合北方微电子, 发挥互补优势... 4 有望复制 AMAT 成功路径, 打造半导体设备平台... 7 / / 证券研究报告 七星电子 (002371) 投资价值分析报告 收购北方微电子, 打造国产半导体设备龙头 公司研究 电子行业 2016 年 3 月 21 日买入 ( 首次 ) 投资要点 大基金 助力收购优秀半导体设备公司北方微电子 七星电子是 A 股唯一国产半导体设备公司, 是国内立式氧化炉和晶圆清洗机龙头 公司拟增发 9.24 亿元股票 以 2016 年 15 倍 PE 从母公司北京电控收购国内硅刻蚀

More information

Microsoft Word - 联盟通讯第1期-20090714.doc

Microsoft Word - 联盟通讯第1期-20090714.doc [ 本 期 热 点 ] 市 委 书 记 刘 淇 市 长 郭 金 龙 为 首 都 新 能 源 产 业 技 术 联 盟 成 立 揭 牌 2009 年 6 月 12 日 下 午, 代 表 着 新 能 源 产 业 技 术 整 体 水 平 的 六 大 产 业 技 术 联 盟 齐 聚 一 堂, 首 都 新 能 源 产 业 技 术 联 盟 宣 告 成 立 联 盟 的 成 立, 标 志 着 首 都 新 能 源 产

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 半导体核心装备龙头迎行业上升良机 北方华创 (002371) 深度报告 报告摘要 : 我们认为未来有三重机遇确保国内半导体迎来发展良机 半导体市场向国内转移 2017 年国内半导体市场销售额为 1315 亿美元, 占全球总销售额的 31.9%, 国内销售额同比增长 22.2%, 高于全球半导 体销售额的 21.6%, 未来几年这种市场转移趋势将持续 ; 半导体制造技术国内得到长足进步

More information

北京七星华创电子股份有限公司2015年年度报告摘要

北京七星华创电子股份有限公司2015年年度报告摘要 证券代码 :002371 证券简称 : 七星电子公告编号 :2016-019 北京七星华创电子股份有限公司 2015 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 董事 监事 高级管理人员异议声明 声明 姓名职务内容和原因 除下列董事外, 其他董事亲自出席了审议本次年报的董事会会议

More information

股票简称:七星电子 股票代码:002371

股票简称:七星电子      股票代码:002371 股票简称 : 七星电子股票代码 :002371 上市地点 : 深圳证券交易所 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联 交易之 独立财务顾问 二零一六年五月 北京七星华创电子股份有限公司关于 发行股份购买资产并募集配套资金暨关联交易之 中国证券监督管理委员会 : 2016 年 5 月 18 日, 贵会发布了 并购重组委 2016 年第 35 次会议审核结果公告, 就北京七星华创电子股份有限公司

More information

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套

1. 公司拟收购北方微电子并募集配套资金 公司近期发布收购北方微电子定增预案 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 元 / 股 2) 公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非公开发行股 份募集配套 七星电子 (002371) 半导体 / 电子发布时间 :2016-01-05 证券研究报告 / 公司动态报告 收购北方微, 半导体设备整合平台价值凸显 收购北方微电子事件点评 报告摘要 : 公告 : 1) 公司拟通过发行股份购买资产的方式收购北方微电子 100% 股权, 作价 9.31 亿元, 发行股份价格为 17.49 元 / 股 ; 2) 上市公司拟通过向国家集成电路基金 京国瑞基金和芯动能基金非

More information

CONTENTS

CONTENTS 江苏省三维打印产业技术创新战略联盟 江苏省三维打印产业技术创新战略联盟秘书处主办江苏省三维打印装备与制造重点实验室南京三维打印学会承办 CONTENTS 南京三维打印学会参加全国科普日科普活动 国家工信部副部长刘利华调研参观南京 3D 打印研究院 田宗军教授做 南京科协大讲堂 3D 打印专题讲座 1 3D 打印配合人工智能算法未来建筑业将被颠覆? 2 3 第四届亚洲 3D 打印展十月隆重召开 - 行业正进行大洗牌

More information

抗战时期的中国留学教育!!!! #!! # %

抗战时期的中国留学教育!!!! #!! # % 孔繁岭 七七事变后 主要激于爱国义愤 欧美留学生的近半 关内留学生的几乎全部 毅然回国 投入抗战洪流之中 在教育 科技 文化等战线发挥了积极作用 另有 名左右滞留海外 战时 鉴于外汇的紧缺和战后的需要 国民政府留学政策经历了前严后松的变化 约有 名学子被派赴海外 主要前往美英 与此同时 沦陷区各伪政权积极推行留日奴化教育 连同台湾 约有 万人前往敌国 整个抗战时期 这两万余名在海外的学子 情况各异

More information

北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据 北京市科学技

北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据 北京市科学技 2013 年 北 京 市 科 学 技 术 奖 励 公 报 北 京 市 人 民 政 府 二 〇 一 四 年 一 月 1 北京市人民政府关于 2013年度北京市科学技术奖励的决定 各区 县人民政府 市政府各委 办 局 各市属机构 为深入贯彻落实党的十八大和十八届三中全会精神 加快健全技术创新市场导向机 制 市政府决定 对在发展首都科技事业 促进首都经济社会发展中取得突出成绩的科 技人员和组织予以奖励 根据

More information

投资高企 把握3G投资主题

投资高企 把握3G投资主题 公司研究 东兴证券股份有限公司证券研究报告 泛半导体产业的 卖铲人 七星电子 (002371) 深度报告 报告摘要 : 晶圆厂建设浪潮直接受益者 下游扩产引发半导体设备需求爆发, 预计在 2017 年上半年开始, 设备行业逐步进入订单爆发期 十三五期间, 半导体设备国产化率将提升至 30% 以上, 七星电子是集成电路领域氧化炉 清洗机 刻蚀机 PVD 等设备的唯一国内供应商, 是国内厂商蛋糕份额提高的直接受益者

More information

第 期 甘金华等 强力霉素人工抗原的合成与抗体制备 材料与方法 试剂及溶液

第 期 甘金华等 强力霉素人工抗原的合成与抗体制备 材料与方法 试剂及溶液 第 卷第 期 年 月 食品与生物技术学报 强力霉素人工抗原的合成与抗体制备 甘金华 邓薇 李进平 艾晓辉 中国水产科学研究院长江水产研究所 湖北荆州 武汉纺织大学环境与城建学院 湖北武汉 采用改进的碳二亚胺两步法将强力霉素半抗原与载体蛋白 连接制备强力霉素 牛血清白蛋白 人工免疫抗原 并用同样方法将强力霉素与载体蛋白 连接制备人强力霉素 卵清白蛋白 人工包被抗原 经紫外扫描分析和动物免疫试验证实 强力霉素人工抗原合成成功

More information

晨会纪要

晨会纪要 公司研究 深度 / 调研报告 21 年 3 月 29 日 电子专用设备行业七星电子 (2371) 评级 : 增持 电子行业研究员 李志中 1-888683-675 lizz@rxzq.com.cn 七星电子与沪深 3 指数走势对比图 15% 1% 5% % -5% -1% 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 成交金额七星电子沪深 3 市场数据 :21 年 3 月 29 日

More information

材料导报 研究篇 年 月 下 第 卷第 期 种球的制备 单步溶胀法制备分子印迹聚合物微球 洗脱处理 种子溶胀聚合机理 种球用量的影响

材料导报 研究篇 年 月 下 第 卷第 期 种球的制备 单步溶胀法制备分子印迹聚合物微球 洗脱处理 种子溶胀聚合机理 种球用量的影响 水相中 组氨酸单分散分子印迹聚合物微球的合成 表征及其识别性能研究 李思平等 李思平 徐伟箭 较佳工艺条件下 在水性体系中选用无皂乳液聚合法制得的单分散微米级聚苯乙烯微球为种球 分别以组氨酸 甲基丙烯酸 或丙烯酸胺 乙二醇二甲基丙烯酸酯 为模板分子 功能单体和交联剂 合成了 组氨酸分子印迹聚合物微球 研究了形貌 粒径及其分布以及模板分子与功能单体之间的相互作用 分别以 激光粒度分析仪紫外分光光度法和红外光谱表征功能单体与交联剂之间的共聚情况

More information

东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段...

东兴证券行业深度报告 电子行业 : 半导体制造兴起的三大投资机遇 P2 目录 1 半导体行业处于景气向上周期 半导体行业包含设计 制造 封测 设备材料四大细分领域 半导体行业周期性减弱, 进入平稳增长期 半导体从 16Q2 开始进入复苏阶段... 行业研究 DONGXING SECURITIES 东兴证券股份有限公司证券研究报告 半导体制造兴起的三大投资机遇 电子行业深度报告 投资摘要 : 下半年景气度远优于上半年 晶圆制造代工厂 半导体设备厂商 封测厂商 终端系统应用厂商的情况一致印证半导体行业进入景气向上周期 台积电产能满载, 产能目前已排至 9 月份, 国际三大半导体设备厂商订单 销售数据大幅增长, 预示着晶圆制造厂进入扩产周期 联发科芯片出现全线缺货状态,

More information

经 济理论与经 济管理 第 期 我 国 汽 车产 业 政 策 和 发 展 战 略 陈建 国 国 家 发 展 与 改 革 委 员会 工 业 司 张宇贤 北京 国 家信 息 中心 发 展 研 究 部 实现 利 润 总 额 结构调整步 伐加快 我 国 汽 车产 业 发 展 基 本 情 况 加人 以来 在 投 资 消 费 的双 重拉 动下 国 汽 车产 业 摆 脱 了 长 达 了 个 快 速 发 展 阶段

More information

贾根良 马国旺 后凯恩斯经济学 多样性 共同基础 综合 后凯恩斯经济学是自 凯恩斯革命 以来 一直致力于完成 流产 的 凯恩斯革命 并以反新古典主流面目存在的一支异端经济学流派 它是对凯恩斯经济学范式的恢复和拓展 凯恩斯 卡莱茨基 斯拉法和老制度主义的思想构成了其理论渊源 该学术传统经历了三代的 传承演进 今天 后凯恩斯主义者在方法论 宏观经济学 微观定价理论和内部综合等方面已经 取得了重大进展 成为西方经济学中不可忽视的一个重要流派

More information

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强

6 公司始终保持很高的研发投入比例, 加之基础优势, 其行业的比较优势逐步被深化和强调, 集成电路设备和军工领域的技术和市场高门槛属性, 给予公司较大的健康发展空间 公司主营业务, 主要包括集成电路设备, 电子元器件和锂电池设备等三个部分都已经形成突出的行业比较优势 7 我们认为, 公司技术实力较强 半导体产业大潮起, 设备龙头乘风来 方正证券研究所证券研究报告 七星电子 (002371) 电子行业 公司研究 公司深度报告 2016.05.30/ 强烈推荐 ( 首次 ) 首席分析师 : 段迎晟执业证书编号 : S1220514060002 TEL: E-mail duanyingsheng@founders 联系人 : 侯宾, 杨洋, 章书勤 TEL: 010-68584892 E-mail:

More information

表 年北京 伦敦 东京 纽约人口净迁移规模比较 图 1 伦敦 东京 纽约 北京净迁移率 (%) 比较 109

表 年北京 伦敦 东京 纽约人口净迁移规模比较 图 1 伦敦 东京 纽约 北京净迁移率 (%) 比较 109 刘长安 ( 首都经济贸易大学, 北京市 100070) 作为中国的首都和规模接近 2000 万的特大城市, 随着中国对外开放的深化, 以及中国加入世界经济一体化步伐的快步迈进, 北京正在日益向发达国家的国际大都市看齐通过对北京与国际大都市国内人口迁移和国际移民的现状比较研究, 了解北京与国际大都市的异同以及存在的差距, 并探索原因 ; 总结分析北京人口迁移的特点和发展趋势 人口迁移 ; 国际移民 ;

More information

!

! 孙文凯 肖 耿 杨秀科 本文通过对中国 美国和日本资本回报率及其影响因素的计算 认为 中国居高不下的投资率是由于中国具有非常可观的投资回报 由于中国资本回报率显著高于其他大国 因此带来了 的较快速增长 三国资本回报率在过去三十年尚未出现收敛 这意味着投资率差异会持续 将持续涌入中国 资本回报率受经济周期影响 长期资本回报率遵从一个递减的趋势 由于中国的劳动者份额及资本 产出比仍处于较低的水平 中国的高资本回报率将会维持相当长一段时间

More information

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次

第 1 部 分 目 錄 第 1 部 分 計 畫 執 行 成 果 摘 要 Ⅰ 頁 次 經 濟 部 經 濟 部 工 業 局 102 年 度 專 案 計 畫 期 末 執 行 成 果 報 告 計 畫 名 稱 : 推 動 半 導 體 製 程 設 備 暨 零 組 件 躍 升 計 畫 契 約 編 號 :10231101004 執 行 期 間 : 全 程 : 自 99 年 01 月 25 日 至 102 年 12 月 20 日 止 本 年 度 : 自 102 年 01 月 01 日 至 102 年

More information

成果册

成果册 主要研究人员介绍 酒同钢 博士 副研究员 硕士生导师 2001年毕业于兰州大学 学士学位 2006年7月毕业于中国科学院化学研究所有机固体 组 获 博 士 学 位 2007年 前 往 法 国 原 子 能 研 究 所 (CEA-Grenoble)从 事 博 士 后 研 究 2008年11月获得荷兰物质基础研究基金支持 加入埃因霍温理工大学Rene Janssen研究 组从事雪崩效应太阳能电池研究 2010年7月前往加拿大阿尔伯塔大学及加拿大国家纳米研

More information

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt)

(Microsoft PowerPoint - \275\257\327\334\267\242\321\324_\303\300.ppt) 中国集成电路行业的发展概况 上海市集成电路行业协会蒋守雷秘书长 2011-7 中国 IC 产业的三个阶段 三. 扬帆起航快速发展 二. 改革开放建立基础 一. 自力更生艰苦奋斗 十一五 期间我国集成电路产业市场概况 8000 7000 6000 5000 4000 3000 2000 1000 0 2908.1 40.20% 5973.3 30.80% 5623.7 4743 24.70% 3803.7

More information

Microsoft Word _ doc

Microsoft Word _ doc 股票研究新股询价定价分析电子元器件 / 信息科技 七星电子 (002371) 国产集成电路制造设备主要提供商 魏兴耘 张慧 0755-23976213 021-38676715 weixy1@gtjas.com zhanghui6820@gtjas. 本报告导读 : 公司是国产集成电路制造设备主要提供商, 在军用混合集成电路和高密度阻容元件领 域具有优势投资要点 : 公司主要产品为大规模集成电路制造设备

More information

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年

目 录 1 国内集成电路高端工艺装备优质供应商 七星电子 与 北方微电子 战略重组, 强强联合 高端装备与电子元器件同发力,217 年收入同比增长 37% 国内需求激增, 公司半导体装备有望同风而起 晶圆产线投建增加, 预计未来三年 218 年 4 月 25 日北方华创 (2371.SZ) 电子 / 半导体 国内高端半导体装备领跑者, 行业景气助力高增长 北方华创首次覆盖报告首次覆盖报告 郭泰 ( 分析师 ) 陈皓 ( 联系人 ) 吴吉森 ( 联系人 ) 1-83561 guotai@xsdzq.cn 证书编号 :S2851814 1-83561 chenhao1@xsdzq.cn 证书编号 :S2811848 证书编号 :S7411687

More information

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63>

<4D F736F F D20CEF7C5C9B0A3CEC2B6C8D2C7B1EDB9ABCBBED1F9B1BE31312E646F63> 上海工业自动化仪表研究所 上海西派埃温度仪表公司 前言 上海西派埃温度仪表公司系上海工业自动化仪表研究所温度测量仪表部创建的高科技型经济实体, 为温度仪表试验设备与温度控制系统专业生产单位 上海工业自动化仪表研究所温度测量仪表部从事温度测量仪表 温度仪表试验设备及温度控制系统的研究开发已有 40 多年历史, 不仅具有一支在研究开发 生产制造各类温度仪表 试验设备都极具丰富经验的技术队伍, 而且有国内外先进的试验条件与测试装备,

More information

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同

1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 或 所有距离值以毫米为单位 提供多种不同 附加说明书 符合 DIN - EN - ASME - JIS - GOST 的法兰 技术参数 Document ID: 31088 1 产品说明 1 产品说明 1.1 规定 所述法兰是带有密封条的螺纹法兰, 可以交付带 G 1 或 G 1½ 内螺纹 (ISO 228 T1) 的法兰 在本附加说明书中的说明针对用材料 316L 制成的法兰 材料 316L 相当于 1.4404 或 1.4435 所有距离值以毫米为单位

More information

更多财经资讯 证券图书免费下载尽在

更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯 证券图书免费下载尽在 更多财经资讯

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

( ) A 1, [][] 6,500 [2009]

( ) A 1, [][] 6,500 [2009] 1 66 4 1-1-1 ( ) A 1,656 1 2009 [][] 6,500 [2009]94 2009 11 16 1-1-2 1-1-3 1 [2009]94 [2009]223 A 1,656 10% 165.60 2. 4,844 1,656 A 6,500 [2009]94 3 2008 2008 2009 2009 6 30 18,885.28 4 (1) 1-1-4 8 12

More information

, ( ) :,, :,, ( )., ( ) ' ( ),, :,,, :,, ;,,,,,, :,,,, :( ) ;( ) ;( ),,.,,,,,, ( ), %,. %,, ( ),,. %;,

, ( ) :,, :,, ( )., ( ) ' ( ),, :,,, :,, ;,,,,,, :,,,, :( ) ;( ) ;( ),,.,,,,,, ( ), %,. %,, ( ),,. %;, :?? * 张军高远傅勇张弘 : 本文在中国的政治经济体制的框架内解释了改革以来, 尤其是上世纪 年代以来中国在建设和改善物质基础设施上所取得的显著成就 文章依据现有的文献和 省级面板数据, 不仅度量了改革以来中国的基础设施的存量变化和地区差距, 而且运用 方法检验了可解释基础设施投资支出变动模式的重要变量 本文发现, 在控制了经 济发展水平 金融深化改革以及其他因素之后, 地方政府之间在 招商引资

More information

电子-12页

电子-12页 创建信息市场 实现智能城市价值挖掘 伸手触摸未来 中国车联网 发展现状与前瞻 序言 2 车联网掀开未来汽车新篇章 2013 2000 7% 2014 17.5 2016 2019 56 12,221 73.4% 76.8% 67.2% 48.3% 201 WeChat 39% 4.68 中国驾车者更加推崇个性化的联网服务 1 2 1. 2014 17.5 2014 1 21 2. 2014 06 04

More information

报告目录 1 儿童微量元素补充剂市场概述 儿童微量元素市场容量分析 儿童微量元素类别构成分析 儿童补钙剂市场销售分析 儿童补钙剂市场容量分析 儿童补钙剂市场品牌竞争格局 儿童补钙剂市场厂商竞争格局...

报告目录 1 儿童微量元素补充剂市场概述 儿童微量元素市场容量分析 儿童微量元素类别构成分析 儿童补钙剂市场销售分析 儿童补钙剂市场容量分析 儿童补钙剂市场品牌竞争格局 儿童补钙剂市场厂商竞争格局... 儿童微量元素补充剂市场研究报告 www.szyy.com.cn 2010 年 11 月 北京华夏仲景医药信息咨询有限公司 报告目录 1 儿童微量元素补充剂市场概述... 7 2 儿童微量元素市场容量分析... 7 3 儿童微量元素类别构成分析... 7 4 儿童补钙剂市场销售分析... 8 4.1 儿童补钙剂市场容量分析... 8 4.2 儿童补钙剂市场品牌竞争格局... 8 4.3 儿童补钙剂市场厂商竞争格局...

More information

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系

东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系 东亚银行 ( 中国 ) 有限公司关于结构性存款产品销售清单的公告 尊敬的客户 : 兹通知阁下, 东亚银行 ( 中国 ) 有限公司 ( 以下简称 我行 ) 当前正在全国范围内发售的结构性存款产品系列清单如下, 产品均为我行发行 : 产品类别产品名称发售方式风险等级收费标准投资者范围 境内挂钩投资产品系列东亚 汇添盈 结构性存款产品 TM1099( 人民币 ) 公募 1 级 境内挂钩投资产品系列 东亚

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大

对利益冲突问题及其危害性有比较清晰的认识 坚持政企分开原则 禁商为主旋律 适用对象的范围逐渐扩大 我国防止公职人员利益冲突制度的变迁及完善 王琳瑜 杜治洲 北京航空航天大学公共管理学院 北京 改革开放三十余年来 中国防止公职人员利益冲突制度的变迁过程可以划分为探索 发展 加速推进三个阶段 呈现出制度建设的科学化水平不断提高 越来越注重制度的执行力 日趋国际化的发展趋势 进一步完善的制度建设应从四个方面入手 对防止公职人员利益冲突进行立法 重构现有制度并使其系统化 建立有效防止公职人员利益冲突的实施机制以提高制度执行力

More information

气溶胶光学厚度 的测量原理 Ê

气溶胶光学厚度 的测量原理 Ê 肖钟湧 江洪 余树全 周国模 陈然 宋晓东 焦荔 洪盛茂 常杰 江波 南京大学国际地球系统科学研究所 南京 浙江林学院国际空间生态与生态系统生态研究中心 杭州 杭州市环境监测总站 杭州 浙江大学生命科学学院 杭州 浙江省林业科学研究院 杭州 气溶胶光学厚度 的测量原理 Ê 仪器和观测 实验场地 数据处理 气溶胶光学厚度的高光谱反演 浑浊度系数 波长指数 Ê Ê 精度检验 气溶胶光学厚度 浑浊度系数

More information

友盟 年 1 机分析报告 2017 年 2

友盟 年 1 机分析报告 2017 年 2 友盟 + 2017 年 1 机分析报告 2017 年 2 2017 年 1 机市场概况 2017 年 1 Android 设备份额上升,iOS 设备份额下降,Windows 设备份额变化不 Android 设备品牌分布上, 华为排名跃 位, 退居第 ; 三星份额下降明显, 其他品牌 幅变化 Android 机型分布上, 4LTE 红 NOTE 1LTE 本 份额下降明显,OPPO A37m OPPO

More information

欧盟与欧盟属下的民族国家 刘 泓 本文试图从民族学与人类学的角度审视欧洲人从分散走向联合的步伐 剖析作为新型人们共同体的欧盟的权力 属性与组织功能 阐释欧盟属下民族国家的发展状况 研讨人们共同体的未来发展方向 文章认为 建立欧盟是欧洲人的一种理性选择 欧盟是一个兼有超国家政治成分和政府间政治成分的区域性人们共同体 欧盟的超国家机构服务于跨国社会的利益 致力于超国家的治理 其成员国政府的长期利益将日益屈服于跨国社会的长期利益

More information

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9>

<4D F736F F D20B5DBD4B4D0C2B2C4B2FAC6B7CBB5C3F7CAE9A3A8554CB1EAD7BCA3A9> P/N: 品名 DY-U-001:UL80 105 PVC 电线绝缘料 ( 通用型 ) 适用于 标准额定耐温等级 80 105 的 PVC 电线绝缘材 料 ( 绝缘厚度大于 0.76MM, 导体截面积小于 20AWG 规格的电子线请选 择 DY-U-008 专用型 ) 产品符合欧盟 ROHS 2.0 REACH 等环保要求 Volume resistivoty 体积电阻率 Ω.m 1.0 10 11

More information

!!

!! 徐二明 陈 茵 以企业资源基础理论为基础 从企业吸收能力这一概念入手 剖析企业吸收能力与企业竞争优势的关系 研究组织管理机制对企业吸收能力构建和发展的影响 依据吸收能力经典文献对吸收能力的前因进行重新梳理和归类 对现有文献中各种思路有一定的整理和明示作用 通过研究两种吸收能力的 类影响因素 辨识出中国企业在吸收能力培养和发展方面的优势和弱势 通过实证方法全面衡量和验证潜在吸收能力与实际吸收能力两者之间以及两能力与企业竞争优势的关系

More information

北方华创科技集团股份有限公司2016年年度报告摘要

北方华创科技集团股份有限公司2016年年度报告摘要 证券代码 :002371 证券简称 : 北方华创公告编号 :2017-025 北方华创科技集团股份有限公司 2016 年年度报告摘要 一 重要提示 本年度报告摘要来自年度报告全文, 为全面了解本公司的经营成果 财务状况及未来发展规划, 投资者应当到证监会指定媒体仔细阅读年度报告全文 董事 监事 高级管理人员异议声明 声明 姓名职务内容和原因 除下列董事外, 其他董事亲自出席了审议本次年报的董事会会议

More information

版权所有

版权所有 版权所有 不得翻印 (2013 年版 ) 中国半导体行业协会 (CSIA) 中国电子信息产业发展研究院 (CCID) 二 O 一三年四月 ---------------------------------------------------------------------------------------------- 1 前 言 2012 年, 全球经济仍没有走出低谷, 全球半导体市场再现负增长

More information

1-16页

1-16页 17 报告 18 报告 焊接 质量 19 I 20 报告 01 02 03 04 21 22 能量高度集中 科学 ELI Beamline 可以用于做很多事情, 包括产生反物质, 以及在几分之一秒内将分子重新排列 23 照明 24 科学 如今, 在 Hilase 项目已经实现了功率 250 千瓦的泵浦光源 最终的目标功率是 1000 千瓦 25 26 人物 M 27 ö 28 人物 为什么能源利用效率如此重要?

More information

中国与欧洲关系 年

中国与欧洲关系 年 中国与欧洲关系 年 周 弘 本文全面回顾了中国与欧洲共同体 欧洲联盟及其成员国 年至 年间政治和经济关系的发展历程 分析了当前中欧关系取得的进展和存在的问题 作者认为 年来中国与欧洲关系的发展变化见证并体现了世界格局的演变以及中国和欧洲这两大很不相同的世界力量自身的发展变化 中欧之间不断深入和拓展的交往使双方都从中获益 而这种交往所创造出来的体制机制和方式方法也堪为人先 中欧关系能否在第二个 年中顺利发展

More information

内 容 提 要

内 容 提 要 CEPEA 中国电子专用设备工业协会 行业简讯 二〇一九年第四期 4 月 18 日发 协会办公室编印 ( 总第 365 期 ) ( 行业内交流 ) 内容提要 综合信息 2018 年电子信息制造业运行情况 行业动态 11 项半导体设备和部件获选 2018 年度中国半导体创新产品 SEMI:2018 年全球半导体设备销售额跃升至创纪录的 645 亿美元 协会动态 协会已有 70% 会员缴纳了 2019

More information

untitled

untitled 3 5. 222 3 4. 5. 40 6. 2007 7. 8. 9. 4. 5. IPO Mall Shanghai Market Research 1118 A 27F 200042 02152385000 02152987700 Page 1 of 23 1118 A 27F 200042 02152385000 02152987700 Page 2 of 23 1118 A 27F 200042

More information