3 PCI PCI PCI type 0 PCI type 1 PCI type 2 PCI CardBus PCI 16 6 Device ID Vendor ID 00h Status Register Command Register 04h Class Code Revision ID 08

Size: px
Start display at page:

Download "3 PCI PCI PCI type 0 PCI type 1 PCI type 2 PCI CardBus PCI 16 6 Device ID Vendor ID 00h Status Register Command Register 04h Class Code Revision ID 08"

Transcription

1 3 PCI PCI PCI type 0 PCI type 1 PCI type 2 PCI CardBus PCI 16 6 Device ID Vendor ID 00h Status Register Command Register 04h Class Code Revision ID 08h BIST Header Type Latency Timer Cache Line Size 0ch 3ch 6 PCI 16 Linux include/linux/pci.h 16 1 ID ID #define PCI_VENDOR_ID 0x00 16 bits #define PCI_DEVICE_ID 0x02 16 bits 2 #define PCI_COMMAND 0x04 16 bits #define PCI_COMMAND_IO 0x1 Enable response in I/O space #define PCI_COMMAND_MEMORY 0x2 Enable response in Memory space #define PCI_COMMAND_MASTER0x4 Enable bus mastering #define PCI_COMMAND_SPECIAL0x8 Enable response to special cycles #define PCI_COMMAND_INVALIDATE 0x10 Use memory write and invalidate #define PCI_COMMAND_VGA_PALETTE 0x20 Enable palette snooping #define PCI_COMMAND_PARITY 0x40 Enable parity checking #define PCI_COMMAND_WAIT 0x80 Enable address/data stepping #define PCI_COMMAND_SERR 0x100 Enable SERR #define PCI_COMMAND_FAST_BACK 0x200 Enable back-to-back writes PCI_COMMAND PCI 10 PCI_COMMAND_XXX PCI 10 PCI 3 #define PCI_STATUS 0x06 16 bits #define PCI_STATUS_CAP_LIST 0x10 Support Capability List

2 #define PCI_STATUS_66MHZ 0x20 Support 66 Mhz PCI 2.1 bus #define PCI_STATUS_UDF 0x40 Support User Definable Features [obsolete] #define PCI_STATUS_FAST_BACK 0x80 Accept fast-back to back #define PCI_STATUS_PARITY 0x100 Detected parity error #define PCI_STATUS_DEVSEL_MASK 0x600 DEVSEL timing #define PCI_STATUS_DEVSEL_FAST 0x000 #define PCI_STATUS_DEVSEL_MEDIUM 0x200 #define PCI_STATUS_DEVSEL_SLOW 0x400 #define PCI_STATUS_SIG_TARGET_ABORT 0x800 Set on target abort #define PCI_STATUS_REC_TARGET_ABORT 0x1000 Master ack of " #define PCI_STATUS_REC_MASTER_ABORT 0x2000 Set on master abort #define PCI_STATUS_SIG_SYSTEM_ERROR 0x4000 Set when we drive SERR #define PCI_STATUS_DETECTED_PARITY 0x8000 Set on parity error PCI_STATUS PCI_STATUS_XXX PCI #define PCI_CLASS_REVISION 0x08 High 24 bits are class, low 8 revision #define PCI_REVISION_ID 0x08 Revision ID #define PCI_CLASS_PROG 0x09 Reg. Level Programming Interface #define PCI_CLASS_DEVICE 0x0a Device class PCI_CLASS_REVISION PCI PCI_REVISION_ID RevisionID PCI_CLASS_PROG ClassCode PCI_CLASS_DEVICE word PCI 5 PCI_CACHE_LINE_SIZE Cache Line Size 6 PCI_LATENCY_TIMER Latency Timer 7 PCI_HEADER_TYPE HeaderType HeaderType 7 00h PCI 01h PCI-to-PCI 02h CardBus 8 PCI_BIST BIST Built In Self-Test BIST bit 3 0 bit 7 BIST bit 6 BIST 2 #define PCI_CACHE_LINE_SIZE 0x0c 8 bits #define PCI_LATENCY_TIMER 0x0d 8 bits #define PCI_HEADER_TYPE 0x0e 8 bits #define PCI_HEADER_TYPE_NORMAL0 #define PCI_HEADER_TYPE_BRIDGE 1 #define PCI_HEADER_TYPE_CARDBUS 2

3 #define PCI_BIST 0x0f 8 bits #define PCI_BIST_CODE_MASK 0x0f Return result #define PCI_BIST_START 0x40 1 to start BIST, 2 secs or less #define PCI_BIST_CAPABLE 0x80 1 if BIST capable 3.1 BAR PCI_BASE_ADDRESS_0 PCI_BASE_ADDRESS_5 0 5 PCI_BASE_ADDRESS_2 5 PCI 0 PCI_BASE_ADDRESS_0~1 0 1 BAR bit 0 BAR I/O Memory PCI_BASE_ADDRESS_SPACE BAR bit 0 PCI_BASE_ADDRESS_SPACE_IO PCI_BASE_ADDRESS_SPACE_MEMORY bit 0 I/O BAR BAR bit 2 1 PCI_BASE_ADDRESS_MEM_TYPE_MASK bit 2 1 PCI_BASE_ADDRESS_MEM_TYPE_32/1M/64 BAR bit 3 Prefetchable PCI_BASE_ADDRESS_MEM_PREFETCH PCI_BASE_ADDRESS_MEM_MASK 0x0fUL 0xfffffff0 BAR PCI 256 PCI_BASE_ADDRESS_IO_MASK 0x03UL=0xfffffff8 I/O BAR I/O PCI I/O 8 * Base addresses specify locations in memory or I/O space. * Decoded size can be determined by writing a value of * 0xffffffff to the register, and reading it back. Only * 1 bits are decoded. #define PCI_BASE_ADDRESS_00x10 32 bits #define PCI_BASE_ADDRESS_10x14 32 bits [htype 0,1 only] #define PCI_BASE_ADDRESS_20x18 32 bits [htype 0 only] #define PCI_BASE_ADDRESS_30x1c 32 bits #define PCI_BASE_ADDRESS_40x20 32 bits #define PCI_BASE_ADDRESS_50x24 32 bits #define PCI_BASE_ADDRESS_SPACE 0x01 0 = memory, 1 = I/O #define PCI_BASE_ADDRESS_SPACE_IO 0x01 #define PCI_BASE_ADDRESS_SPACE_MEMORY 0x00 #define PCI_BASE_ADDRESS_MEM_TYPE_MASK 0x06 #define PCI_BASE_ADDRESS_MEM_TYPE_32 0x00 32 bit address #define PCI_BASE_ADDRESS_MEM_TYPE_1M 0x02 Below 1M [obsolete] #define PCI_BASE_ADDRESS_MEM_TYPE_64 0x04 64 bit address #define PCI_BASE_ADDRESS_MEM_PREFETCH 0x08 prefetchable? #define PCI_BASE_ADDRESS_MEM_MASK(~0x0fUL)

4 #define PCI_BASE_ADDRESS_IO_MASK bit 1 is reserved if address_space = 1 (~0x03UL) PCI 0 Header type 0 (normal devices) #define PCI_CARDBUS_CIS 0x28 #define PCI_SUBSYSTEM_VENDOR_ID 0x2c #define PCI_SUBSYSTEM_ID 0x2e #define PCI_ROM_ADDRESS 0x30 Bits are address, reserved #define PCI_ROM_ADDRESS_ENABLE 0x01 #define PCI_ROM_ADDRESS_MASK (~0x7ffUL) #define PCI_CAPABILITY_LIST 0x34 Offset of first capability list entry 0x35-0x3b are reserved #define PCI_INTERRUPT_LINE 0x3c 8 bits #define PCI_INTERRUPT_PIN 0x3d 8 bits #define PCI_MIN_GNT 0x3e 8 bits #define PCI_MAX_LAT 0x3f 8 bits ROM 0 ROM 0x30 bit bit 10 1 bit 0 PCI ROM bit 0 1 bit 0 0 bit PCI-PCI 24 0 BAR0 BAR1 40 0x18 0x PCI_PRIMARY_BUS PCI_SECONDARY_BUS PCI_SUBORDINATE_BUS PCI_SEC_LATENCY_TIMER SecondaryLatencyTimer Header type 1 (PCI-to-PCI bridges) #define PCI_PRIMARY_BUS 0x18 Primary bus number #define PCI_SECONDARY_BUS 0x19 Secondary bus number #define PCI_SUBORDINATE_BUS 0x1a Highest bus number behind the bridge #define PCI_SEC_LATENCY_TIMER 0x1b Latency timer for secondary interface 2 PCI IO

5 64KB I/O I/O Base I/O LIMIT 8 bit 3 0 I/O 0h 16 I/O 1h 32 I/O 2h fh bit 7 4 I/O 16 I/O bit Linux PCI_IO_BASE PCI_IO_LIMIT I/O I/O Limit PCI_IO_RANGE_TYPE_MASK 8 bit 3 0 PCI_IO_RANGE_TYPE_16 32 bit 3 0 0h 1h 16 I O 32 I/O PCI_IO_RANGE_MASK 0x0f 0xf I/O I/O Base Upper 16 Bits I/O Limit Upper 16 Bits 32 I O 16 bit Linux PCI_IO_BASE_UPPER16 PCI_IO_LIMIT_UPPER16 #define PCI_IO_BASE 0x1c I/O range behind the bridge #define PCI_IO_LIMIT 0x1d #define PCI_IO_RANGE_TYPE_MASK 0x0f I/O bridging type #define PCI_IO_RANGE_TYPE_16 0x00 #define PCI_IO_RANGE_TYPE_32 0x01 #define PCI_IO_RANGE_MASK ~0x0f #define PCI_SEC_STATUS 0x1e Secondary status register, only bit 14 used #define PCI_MEMORY_BASE 0x20 Memory range behind #define PCI_MEMORY_LIMIT 0x22 #define PCI_MEMORY_RANGE_TYPE_MASK 0x0f #define PCI_MEMORY_RANGE_MASK ~0x0f #define PCI_PREF_MEMORY_BASE 0x24 Prefetchable memory range behind #define PCI_PREF_MEMORY_LIMIT 0x26 #define PCI_PREF_RANGE_TYPE_MASK 0x0f #define PCI_PREF_RANGE_TYPE_32 0x00 #define PCI_PREF_RANGE_TYPE_64 0x01 #define PCI_PREF_RANGE_MASK ~0x0f #define PCI_PREF_BASE_UPPER32 0x28 Upper half of prefetchable memory range #define PCI_PREF_LIMIT_UPPER32 0x2c #define PCI_IO_BASE_UPPER16 0x30 Upper half of I/O addresses #define PCI_IO_LIMIT_UPPER16 0x32 3 PCI I/O PCI I/O Memory Base Memory Limit 16 bit 3 0 bit bit I O 1MB Linux PCI_MEM_BASE PCI_MEM_LIMIT Memory Base Memory Limit PCI_MEM_RANGE_TYPE_MASK 4 bit 3 0 PCI_MEMORY_RANGE_MASK 0x0f 0xfff PCI PCI Prefectable Memory Base Prefetchable

6 Memory Limit 16 bit 3 0 0h 32 1h 64 bit bit Linux PCI_PREF_MEMORY_BASE PCI_PREF_MEMORY_LIMIT Prefectable Memory Base Prefetchable Memory Limit PCI_PREF_RANGE_TYPE_MASK 4 PCI_PREF_RANGE_TYPE_ PCI_PREF_RANGE_MASK Prefetchable Base Upper 32 Bits Prefetchable Limit Upper 32 Bits Linux PCI_PREF_BASE_UPPER_32 PCI_PREF_LIMIT_UPPER32 5 PCI_SEC_STATUS 1 Secondary Status 1 0x34 0 PCI_CAPABILITY_LIST 1 0x35-0x37 0x38 ROM 0 ROM Linux PCI_ROM_ADDRESS1 1 0x3c 0x3d 0 PCI_INTERRUPT_LINE PCI_INTERRUPT_PIN 1 0x3e Linux PCI_BRIDGE_CONTROL 0x34 same as for htype 0 0x35-0x3b is reserved #define PCI_ROM_ADDRESS1 0x38 Same as PCI_ROM_ADDRESS, but for htype 1 0x3c-0x3d are same as for htype 0 #define PCI_BRIDGE_CONTROL 0x3e #define PCI_BRIDGE_CTL_PARITY 0x01 Enable parity detection on secondary interface #define PCI_BRIDGE_CTL_SERR 0x02 The same for SERR forwarding #define PCI_BRIDGE_CTL_NO_ISA 0x04 Disable bridging of ISA ports #define PCI_BRIDGE_CTL_VGA 0x08 Forward VGA addresses #define PCI_BRIDGE_CTL_MASTER_ABORT 0x20 Report master aborts #define PCI_BRIDGE_CTL_BUS_RESET 0x40 Secondary bus reset #define PCI_BRIDGE_CTL_FAST_BACK 0x80 Fast Back2Back enabled on secondary interface

7 Capability lists #define PCI_CAP_LIST_ID 0 Capability ID #define PCI_CAP_ID_PM 0x01 Power Management #define PCI_CAP_ID_AGP 0x02 Accelerated Graphics Port #define PCI_CAP_ID_VPD 0x03 Vital Product Data #define PCI_CAP_ID_SLOTID 0x04 Slot Identification #define PCI_CAP_ID_MSI 0x05 Message Signalled Interrupts #define PCI_CAP_ID_CHSWP 0x06 CompactPCI HotSwap #define PCI_CAP_LIST_NEXT 1 Next capability in the list #define PCI_CAP_FLAGS 2 Capability defined flags (16 bits) #define PCI_CAP_SIZEOF 4 Power Management Registers #define PCI_PM_CAP_VER_MASK 0x0007 Version #define PCI_PM_CAP_PME_CLOCK 0x0008 PME clock required #define PCI_PM_CAP_AUX_POWER 0x0010 Auxilliary power support #define PCI_PM_CAP_DSI 0x0020 Device specific initialization #define PCI_PM_CAP_D1 0x0200 D1 power state support #define PCI_PM_CAP_D2 0x0400 D2 power state support #define PCI_PM_CAP_PME 0x0800 PME pin supported #define PCI_PM_CTRL 4 PM control and status register #define PCI_PM_CTRL_STATE_MASK 0x0003 Current power state (D0 to D3) #define PCI_PM_CTRL_PME_ENABLE 0x0100 PME pin enable #define PCI_PM_CTRL_DATA_SEL_MASK 0x1e00 Data select (??) #define PCI_PM_CTRL_DATA_SCALE_MASK 0x6000 Data scale (??) #define PCI_PM_CTRL_PME_STATUS 0x8000 PME pin status #define PCI_PM_PPB_EXTENSIONS 6 PPB support extensions (??) #define PCI_PM_PPB_B2_B3 0x40 Stop clock when in D3hot (??) #define PCI_PM_BPCC_ENABLE 0x80 Bus power/clock control enable (??) #define PCI_PM_DATA_REGISTER 7 (??) #define PCI_PM_SIZEOF 8 AGP registers #define PCI_AGP_VERSION 2 BCD version number #define PCI_AGP_RFU 3 Rest of capability flags #define PCI_AGP_STATUS 4 Status register #define PCI_AGP_STATUS_RQ_MASK 0xff Maximum number of requests - 1 #define PCI_AGP_STATUS_SBA 0x0200 Sideband addressing supported #define PCI_AGP_STATUS_64BIT 0x bit addressing supported #define PCI_AGP_STATUS_FW 0x0010 FW transfers supported #define PCI_AGP_STATUS_RATE4 0x0004 4x transfer rate supported #define PCI_AGP_STATUS_RATE2 0x0002 2x transfer rate supported #define PCI_AGP_STATUS_RATE1 0x0001 1x transfer rate supported

8 #define PCI_AGP_COMMAND 8 Control register #define PCI_AGP_COMMAND_RQ_MASK 0xff Master: Maximum number of requests #define PCI_AGP_COMMAND_SBA 0x0200 Sideband addressing enabled #define PCI_AGP_COMMAND_AGP 0x0100 Allow processing of AGP transactions #define PCI_AGP_COMMAND_64BIT 0x0020 Allow processing of 64-bit addresses #define PCI_AGP_COMMAND_FW 0x0010 Force FW transfers #define PCI_AGP_COMMAND_RATE4 0x0004 Use 4x rate #define PCI_AGP_COMMAND_RATE2 0x0002 Use 4x rate #define PCI_AGP_COMMAND_RATE1 0x0001 Use 4x rate #define PCI_AGP_SIZEOF 12 PCI 3.6 pci_ids.h 1 PCI 2 PCI-SIG ID PCI ID 3.7 PCI 32 PCI 8 PCI 256 PCI device number bit 7 3 bit 2 0 Linux PCI_SLOT() PCI_FUNC PCI_DEVFN() * The PCI interface treats multi-function devices as independent * devices. The slot/function address of each device is encoded * in a single byte as follows: * * 7:3 = slot * 2:0 = function #define PCI_DEVFN(slot,func) ((((slot) & 0x1f) << 3) ((func) & 0x07)) #define PCI_SLOT(devfn) (((devfn) >> 3) & 0x1f) #define PCI_FUNC(devfn) ((devfn) & 0x07) #ifdef KERNEL #endif

9 4 PCI PCI CPU Host/PCI PCI 1 Host/PCI CPU Host/PCI 0xcf8 0xcfc PCI PCI Specification PCI PCI Specification PCI BIOS Linux backward compability 1 3 CPU PCI Linux pci_ops 6 pci_bus ops pci_ops PCI PCI PCI PCI PCI PCI BIOS X86 linux/arch/i386/kernel/pci_pc.c 4 1 pci_ops 6 6 include/linux/pci.h struct pci_ops int (*read_byte)(struct pci_dev *, int where, u8 *val); int (*read_word)(struct pci_dev *, int where, u16 *val); int (*read_dword)(struct pci_dev *, int where, u32 *val); int (*write_byte)(struct pci_dev *, int where, u8 val); int (*write_word)(struct pci_dev *, int where, u16 val); int (*write_dword)(struct pci_dev *, int where, u32 val); ; where PCI #ifdef CONFIG_PCI_DIRECT #endif pci_conf1_read(write)_config_byte(word dword) pci_conf2_read(write)_config_byte(word dword) 1 arch/i386/kernel/pci-pc.c * Direct access to PCI hardware...

10 #ifdef CONFIG_PCI_DIRECT * Functions for accessing PCI configuration space with type 1 accesses #define CONFIG_CMD(dev, where) (0x (dev->bus->number << 16) (dev->devfn << 8) (where & ~3)) static int pci_conf1_read_config_byte(struct pci_dev *dev, int where, u8 *value) outl(config_cmd(dev,where), 0xCF8); *value = inb(0xcfc + (where&3)); return PCIBIOS_SUCCESSFUL; static int pci_conf1_read_config_word(struct pci_dev *dev, int where, u16 *value) outl(config_cmd(dev,where), 0xCF8); *value = inw(0xcfc + (where&2)); return PCIBIOS_SUCCESSFUL; static int pci_conf1_read_config_dword(struct pci_dev *dev, int where, u32 *value) outl(config_cmd(dev,where), 0xCF8); *value = inl(0xcfc); return PCIBIOS_SUCCESSFUL; static int pci_conf1_write_config_byte(struct pci_dev *dev, int where, u8 value) outl(config_cmd(dev,where), 0xCF8); outb(value, 0xCFC + (where&3)); return PCIBIOS_SUCCESSFUL; static int pci_conf1_write_config_word(struct pci_dev *dev, int where, u16 value) outl(config_cmd(dev,where), 0xCF8); outw(value, 0xCFC + (where&2)); return PCIBIOS_SUCCESSFUL; static int pci_conf1_write_config_dword(struct pci_dev *dev, int where, u32 value)

11 outl(config_cmd(dev,where), 0xCF8); outl(value, 0xCFC); return PCIBIOS_SUCCESSFUL; #undef CONFIG_CMD static struct pci_ops pci_direct_conf1 = pci_conf1_read_config_byte, pci_conf1_read_config_word, pci_conf1_read_config_dword, pci_conf1_write_config_byte, pci_conf1_write_config_word, pci_conf1_write_config_dword ; * Functions for accessing PCI configuration space with type 2 accesses #endif pci_conf1_read/write_config_byte/word/dword pci_conf1_read_config_byte() CPU 1 PCI xcf xcfc I/O Host/PCI PCI PCI pci_conf1_read_config_byte() outl 32 I O 0xcf8 CONFIG_CMD(dev,where) PCI where where CONFIG_CMD where 2 4 CONFIG_CMD() pci_conf1_read_config_byte inb() 0xcfc~0xcff where 2 pci_conf1_read_config_byte() PCIBIOS_SUCCESSFUL 0 pci_conf1_read/write_config_byte/word/dword() 6 Linux 1 pci_ops pci_direct_conf1 PCI pci_bus ops pci_direct_conf1 PCI 1 PCI PCI

12 4 3 2 Linux 2 2 pci_conf2_read/write_config_byte/word/dword() 6 Linux 2 pci_ops pci_direct_conf2 PCI pci_bus ops pci_direct_conf2 PCI 2 PCI PCI pci_direct_conf2 static struct pci_ops pci_direct_conf2 = pci_conf2_read_config_byte, pci_conf2_read_config_word, pci_conf2_read_config_dword, pci_conf2_write_config_byte, pci_conf2_write_config_word, pci_conf2_write_config_dword ; 4 4 PCI PCI Linux PCI pci_check_direct() PCI PCI Spec2.2 Host/PCI 1 pci_check_direct() pci_check_direct() PCI init (arch/i386/kernel/pci-pc.c) static struct pci_ops * init pci_check_direct(void) unsigned int tmp; unsigned long flags; save_flags(flags); cli(); * Check if configuration type 1 works. if (pci_probe & PCI_PROBE_CONF1) outb (0x01, 0xCFB); tmp = inl (0xCF8); outl (0x , 0xCF8); if (inl (0xCF8) == 0x && pci_sanity_check(&pci_direct_conf1)) outl (tmp, 0xCF8); restore_flags(flags);

13 printk("pci: Using configuration type 1\n"); request_region(0xcf8, 8, "PCI conf1"); return &pci_direct_conf1; outl (tmp, 0xCF8); * Check if configuration type 2 works. if (pci_probe & PCI_PROBE_CONF2) outb (0x00, 0xCFB); outb (0x00, 0xCF8); outb (0x00, 0xCFA); if (inb (0xCF8) == 0x00 && inb (0xCFA) == 0x00 && pci_sanity_check(&pci_direct_conf2)) restore_flags(flags); printk("pci: Using configuration type 2\n"); request_region(0xcf8, 4, "PCI conf2"); return &pci_direct_conf2; restore_flags(flags); return NULL; 1 save_flags() cli() 2 pci_probe PCI PCI BIOS 1 2 pci-pc.c unsigned int pci_probe = PCI_PROBE_BIOS PCI_PROBE_CONF1 PCI_PROBE_CONF2; 3 pci_probe PCI_PROBE_CONF1 1 pci_probe 1 bit 31 1 Host/PCI 0 Host/PCI 0x pci_direct_conf1 pci_sanity_check() 0 PCI 1 1 request_region() ioport_resource I/O 0xcf8 0xcff 8 PCI 1 pci_direct_conf1 4 pci_check_direct() Host/PCI 2 pci_direct_conf2

14 NULL pci_sanity_check() 0 PCI PCI SMP 0 PCI root bus 0 Host/PCI VGA PCI ID INTEL Compaq PCI o 1 0 arch/i386/kernel/pci-pc.c * Before we decide to use direct hardware access mechanisms, we try to do some * trivial checks to ensure it at least _seems_ to be working -- we just test * whether bus 00 contains a host bridge (this is similar to checking * techniques used in XFree86, but ours should be more reliable since we * attempt to make use of direct access hints provided by the PCI BIOS). * * This should be close to trivial, but it isn't, because there are buggy * chipsets (yes, you guessed it, by Intel and Compaq) that have no class ID. static int init pci_sanity_check(struct pci_ops *o) u16 x; struct pci_bus bus; Fake bus and device struct pci_dev dev; if (pci_probe & PCI_NO_CHECKS) return 1; bus.number = 0; dev.bus = &bus; for(dev.devfn=0; dev.devfn < 0x100; dev.devfn++) if ((!o->read_word(&dev, PCI_CLASS_DEVICE, &x) && (x == PCI_CLASS_BRIDGE_HOST x == PCI_CLASS_DISPLAY_VGA)) (!o->read_word(&dev, PCI_VENDOR_ID, &x) && (x == PCI_VENDOR_ID_INTEL x == PCI_VENDOR_ID_COMPAQ))) return 1; DBG("PCI: Sanity check failed\n"); return 0; 4 5 PCI BIOS PCI BIOS PCI BIOS PCI Linux BIOS BIOS pci_bios_read/write_config_byte/word/dword() Linux PCI BIOS PCI BIOS pci_ops

15 pci_bios_access arch/i386/kernel/pci-pc.c * Function table for BIOS32 access static struct pci_ops pci_bios_access = pci_bios_read_config_byte, pci_bios_read_config_word, pci_bios_read_config_dword, pci_bios_write_config_byte, pci_bios_write_config_word, pci_bios_write_config_dword ; Linux pci_direct_conf1 pci_direct_conf2 pci_bios_access pci_bus ops PCI PCI PCI pci_direct_conf1 pci_direct_conf2 pci_bios_access 1 2 Linux PCI BIOS BIOS Host/PCI

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

untitled

untitled MODBUS 1 MODBUS...1 1...4 1.1...4 1.2...4 1.3...4 1.4... 2...5 2.1...5 2.2...5 3...6 3.1 OPENSERIAL...6 3.2 CLOSESERIAL...8 3.3 RDMULTIBIT...8 3.4 RDMULTIWORD...9 3.5 WRTONEBIT...11 3.6 WRTONEWORD...12

More information

第4章 系统设置

第4章  系统设置 POST 4.1 POST BIOS POST POST POST POST LOGO LOGO POST BIOS POST POST SCSI SCSI BIOS RAID POST RAID RAID RAID BIOS Operating System not Found BIOS T200 2002 BIOS 4.2 BIOS BIOS

More information

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( ) ( ) ( ) Windows USB 1 SATA A. SATASATAIntel SATA (SATA3

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro

Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Micro Serial ATA ( nvidia nforce4 Ultra/SLI)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( nvidia

More information

穨control.PDF

穨control.PDF TCP congestion control yhmiu Outline Congestion control algorithms Purpose of RFC2581 Purpose of RFC2582 TCP SS-DR 1998 TCP Extensions RFC1072 1988 SACK RFC2018 1996 FACK 1996 Rate-Halving 1997 OldTahoe

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E

Gerotor Motors Series Dimensions A,B C T L L G1/2 M G1/ A 4 C H4 E Gerotor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 5 5 1 0 1 0 3 3 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 25mm Keyed (A) 1.0' 6T Spline

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win

Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A (6) Microsoft Win Serial ATA ( Nvidia nforce430)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 9 (5) S A T A... 11 (6) Microsoft Windows 2000... 14 Ác Åé å Serial ATA ( Nvidia nforce430)

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force Steven Shiau steven@nchc.gov.tw National Center for High-Performance Computing Sep 10, 2002 1 Outline 1. 2. 3. Service DHCP, TFTP, NFS, NIS 4. 5. 2 DRBL (diskless remote boot

More information

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E

Gerolor Motors Series Dimensions A,B C T L L G1/2 M8 G1/ A 4 C H4 E Gerolor Motors Series Size CC-A Flange Options-B Shaft Options-C Ports Features 0 0 12 12 1 1 0 0 2 2 31 31 0 0 SAE A 2 Bolt - (2) 4 Bolt Magneto (4) 4 Bolt Square (H4) 1.0" Keyed (C) 2mm Keyed (A) 1.0'

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

RAID RAID 0 RAID 1 RAID 5 RAID * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID ( ) D. RAID/AHCI ( ) S ATA S S D ( ) (

RAID RAID 0 RAID 1 RAID 5 RAID * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID ( ) D. RAID/AHCI ( ) S ATA S S D ( ) ( SATA... 2 RAID/AHCI... 16 Intel Optane... 19 Intel Virtual RAID on CPU (Intel VROC)... 21 RAID RAID 0 RAID 1 RAID 5 RAID 10 2 2 3 4 * (-1)* (/ 2)* No Yes Yes Yes SATA A. B. BIOS SATA C. RAID BIOS RAID

More information

PCMCIA Compact Flash GPRS GPS PCMCIA Personal Computer Memory Card International Association CF Compact Flash PCMCIA CF PCMCIA/CF

PCMCIA Compact Flash GPRS GPS PCMCIA Personal Computer Memory Card International Association CF Compact Flash PCMCIA CF PCMCIA/CF 09 PCMCIA Compact Flash GPRS GPS PCMCIA Personal Computer Memory Card International Association CF Compact Flash PCMCIA CF PCMCIA/CF PCMCIA WiFi Linux PCMCIA PCMCIA/CF 9-1 PCMCIA/CF PCMCIA 16 CF PCMCIA

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force DRBL steven@nchc.gov.tw, c00hkl00@nchc.gov.tw National Center for High-Performance Computing http://www.nchc.gov.tw Jan, 2003 1 2003/1/28 ( ) 09:00-10:30 10:40-12:00 Linux 13:00-14:30

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005

1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 o o o CPU o o o o o SQL Server 2005 o CPU o o o o o SQL Server o Microsoft SQL Server 2005 1 1...3 2...20 3...28 4...41 5 Windows SQL Server...47 Microsoft SQL Server 2005 DBSRV1 Microsoft SQL Server

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

Product Specification Chip Intel DSL6540 Thunderbolt 3 Controller Connectors 2 x Thunderbolt 3 ports (Thunderbolt 3 Port 1/Thunderbolt 3 Port 2), supp

Product Specification Chip Intel DSL6540 Thunderbolt 3 Controller Connectors 2 x Thunderbolt 3 ports (Thunderbolt 3 Port 1/Thunderbolt 3 Port 2), supp GC-ALPINE RIDGE Installation Guide/ 12WE6-ALPINER-10AR Product Specification Chip Intel DSL6540 Thunderbolt 3 Controller Connectors 2 x Thunderbolt 3 ports (Thunderbolt 3 Port 1/Thunderbolt 3 Port 2),

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

自由軟體教學平台

自由軟體教學平台 NCHC Opensource task force DRBL c00hkl00@nchc.gov.tw, steven@nchc.gov.tw National Center for High-Performance Computing http://www.nchc.gov.tw Dec, 2002 1 Outline 1. 2. DRBL 3. 4. Service DHCP, TFTP, NFS,

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

CONTENTS Chapter - Introduction Chapter 2 - Hardware Installation Chapter 3 - BIOS Setup Utility Chapter 4 - Supported Software M804

CONTENTS Chapter - Introduction Chapter 2 - Hardware Installation Chapter 3 - BIOS Setup Utility Chapter 4 - Supported Software M804 MBS-S65B-ML Pentium 4 478-pin processor Based DDR MAIN BOARD CONTENTS Chapter - Introduction Chapter 2 - Hardware Installation Chapter 3 - BIOS Setup Utility Chapter 4 - Supported Software M804 Chapter

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

CHN_p000A_Cover.ai

CHN_p000A_Cover.ai NextoDI Co., Ltd. www.nextodi.com 列表........................................................................ 1 3 4 4 6 7 8 9 10 12 14 16 20 01 02 03 04 1 2 3 5 6 4 XCopy Ready B Menu Menu Off Off 05 06

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

软件测试(TA07)第一学期考试

软件测试(TA07)第一学期考试 一 判 断 题 ( 每 题 1 分, 正 确 的, 错 误 的,20 道 ) 1. 软 件 测 试 按 照 测 试 过 程 分 类 为 黑 盒 白 盒 测 试 ( ) 2. 在 设 计 测 试 用 例 时, 应 包 括 合 理 的 输 入 条 件 和 不 合 理 的 输 入 条 件 ( ) 3. 集 成 测 试 计 划 在 需 求 分 析 阶 段 末 提 交 ( ) 4. 单 元 测 试 属 于 动

More information

SL2511 SR Plus 操作手冊_單面.doc

SL2511 SR Plus 操作手冊_單面.doc IEEE 802.11b SL-2511 SR Plus SENAO INTERNATIONAL CO., LTD www.senao.com - 1 - - 2 - .5 1-1...5 1-2...6 1-3...6 1-4...7.9 2-1...9 2-2 IE...11 SL-2511 SR Plus....13 3-1...13 3-2...14 3-3...15 3-4...16-3

More information

穨2700使用手冊.doc

穨2700使用手冊.doc Keithley 2700 13 CH Avg Ratio continuity Offset Compensation Ohms 80 (differential) 6 (22 ) (Half-rack size) 1000V/3A isolation/input 50000 EEE-488 RS-232 Digital I/O Trigger Link ActiveX Start-up software

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

IT (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D (5) ID E RA ID... 15

IT (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D (5) ID E RA ID... 15 IT8212...2 (1) IDE... 2 (2) BIOS IDE RAID... 3 (3) RAID BIOS RAID... 5 (4) R A I D... 13 (5) ID E RA ID... 15 Ác Åé å IT8212 (1) IDE (2) BIOS IDE RAID (3) RAID BIOS RAID (4) RAID (5) RAID (a) ( )IDE (

More information

C/C++ 语言 - 循环

C/C++ 语言 - 循环 C/C++ Table of contents 7. 1. 2. while 3. 4. 5. for 6. 8. (do while) 9. 10. (nested loop) 11. 12. 13. 1 // summing.c: # include int main ( void ) { long num ; long sum = 0L; int status ; printf

More information

TCP/IP TCP/IP OSI IP TCP IP IP TCP/IP TCP/IP

TCP/IP TCP/IP OSI IP TCP IP IP TCP/IP TCP/IP TCP/IP : TCP/IP TCP/IP OSI IP TCP IP IP TCP/IP TCP/IP 1. ASCII EBCDIC Extended Binary-Coded Decimal Interchange Code 2. / (1) (2) Single System Image SSI) (3) I/O (4) 3.OSI OSI Open System Interconnection

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

ebook71-13

ebook71-13 13 I S P Internet 13. 2. 1 k p p p P P P 13. 2. 2 1 3. 2. 3 k p p p 1 3. 2. 4 l i n u x c o n f P P P 13. 2. 5 p p p s e t u p 13. 2. 6 p p p s e t u p P P P 13. 2. 7 1 3. 2. 8 C a l d e r a G U I 13.

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

K7M SLOT 1

K7M SLOT 1 K7M SLOT 1 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 1 2 3 4 5 6 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 USB PS/2 COM1 COM2 CPU Core Voltage Setting

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

P3C2000 JumperFree TM Camino

P3C2000 JumperFree TM Camino P3C2000 JumperFree TM Camino 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 1 2 3 4 5 6 7 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 13 USB

More information

Product Specification Chip Connectors Interface Data Transfer Rate Intel DSL5520 Thunderbolt 2 Controller 2 x Thunderbolt 2 ports (TBT 1/TBT 2), suppo

Product Specification Chip Connectors Interface Data Transfer Rate Intel DSL5520 Thunderbolt 2 Controller 2 x Thunderbolt 2 ports (TBT 1/TBT 2), suppo GC-Thunderbolt 2 Installation Guide/ 12WE6-TH2-10AR Product Specification Chip Connectors Interface Data Transfer Rate Intel DSL5520 Thunderbolt 2 Controller 2 x Thunderbolt 2 ports (TBT 1/TBT 2), supporting

More information

ch08.PDF

ch08.PDF 8-1 CCNA 8.1 CLI 8.1.1 8-2 8-3 8.1.21600 2500 1600 2500 / IOS 8-4 8.2 8.2.1 A 5 IP CLI 1600 2500 8-5 8.1.2-15 Windows 9598NT 2000 HyperTerminal Hilgraeve Microsoft Cisco HyperTerminal Private Edition (PE)

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2004 5 31-1 - 1.... 3 1.1....3 1.2. GV-R80P256D/GV-R80P256V...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Win XP...8 3.1.1....8 3.1.2.

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

c-AR64SH-102

c-AR64SH-102 Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD GBT ( ) GBT GBT, GBT 2002 3 15 1 1 11 3 12 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 14 315 14 316 18 32 Windows NT 40

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

Tel: Fax: TTP-344M/246M /

Tel: Fax: TTP-344M/246M / TTP-344M/246M / True Type font David Turner, Robert Wilhelm Werner Lemberg The Free Type Project 235 16 8 2 i- TTP-344M/246M...1 1.1...1 1.2...1 1.2.1...1 1.2.2 /...2 1.2.3...2 1.2.4...2 1.3...3 1.4...3

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

T

T T10452 2015 5 Copyright ASUSTeK Computer Inc. All rights reserved. http://support.asus.com 0800-093-456 1 2 2 筆記型電腦使用手冊 使用手冊... 7 手冊... 8... 8... 8... 9 使用... 9...10...10 筆記型電腦...12...12...16...18...20...22

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

Microsoft Word - PS2_linux_guide_cn.doc

Microsoft Word - PS2_linux_guide_cn.doc Linux For $ONY PlayStatioin2 Unofficall General Guide Language: Simplified Chinese First Write By Beter Hans v0.1 Mail: hansb@citiz.net Version: 0.1 本 人 是 菜 鸟 + 小 白 欢 迎 指 正 错 误 之 处, 如 果 您 有 其 他 使 用 心 得

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information