Xilinx UG190 Virtex-5 FPGA 用户指南

Size: px
Start display at page:

Download "Xilinx UG190 Virtex-5 FPGA 用户指南"

Transcription

1 Virtex-5 用户指南

2 Xilinx is disclosing this Document and Intellectual Property (hereinafter "the Design") to you for use in the development of designs to operate on, or interface with Xilinx FPGAs. Except as stated herein, none of the Design may be copied, reproduced, distributed, republished, downloaded, displayed, posted, or transmitted in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Any unauthorized use of the Design may violate copyright laws, trademark laws, the laws of privacy and publicity, and communications regulations and statutes. Xilinx does not assume any liability arising out of the application or use of the Design; nor does Xilinx convey any license under its patents, copyrights, or any rights of others. You are responsible for obtaining any rights you may require for your use or implementation of the Design. Xilinx reserves the right to make changes, at any time, to the Design as deemed desirable in the sole discretion of Xilinx. Xilinx assumes no obligation to correct any errors contained herein or to advise you of any correction if such be made. Xilinx will not assume any liability for the accuracy or correctness of any engineering or technical support or assistance provided to you in connection with the Design. THE DESIGN IS POVIDED "AS IS" WITH ALL FAULTS, AND THE ENTIE ISK AS TO ITS FUNCTION AND IMPLEMENTATION IS WITH YOU. YOU ACKNOWLEDGE AND AGEE THAT YOU HAVE NOT ELIED ON ANY OAL O WITTEN INFOMATION O ADVICE, WHETHE GIVEN BY XILINX, O ITS AGENTS O EMPLOYEES. XILINX MAKES NO OTHE WAANTIES, WHETHE EXPESS, IMPLIED, O STATUTOY, EGADING THE DESIGN, INCLUDING ANY WAANTIES OF MECHANTABILITY, FITNESS FO A PATICULA PUPOSE, TITLE, AND NONINFINGEMENT OF THID-PATY IGHTS. IN NO EVENT WILL XILINX BE LIABLE FO ANY CONSEQUENTIAL, INDIECT, EXEMPLAY, SPECIAL, O INCIDENTAL DAMAGES, INCLUDING ANY LOST DATA AND LOST POFITS, AISING FOM O ELATING TO YOU USE OF THE DESIGN, EVEN IF YOU HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. THE TOTAL CUMULATIVE LIABILITY OF XILINX IN CONNECTION WITH YOU USE OF THE DESIGN, WHETHE IN CONTACT O TOT O OTHEWISE, WILL IN NO EVENT EXCEED THE AMOUNT OF FEES PAID BY YOU TO XILINX HEEUNDE FO USE OF THE DESIGN. YOU ACKNOWLEDGE THAT THE FEES, IF ANY, EFLECT THE ALLOCATION OF ISK SET FOTH IN THIS AGEEMENT AND THAT XILINX WOULD NOT MAKE AVAILABLE THE DESIGN TO YOU WITHOUT THESE LIMITATIONS OF LIABILITY. The Design is not designed or intended for use in the development of on-line control equipment in hazardous environments requiring failsafe controls, such as in the operation of nuclear facilities, aircraft navigation or communications systems, air traffic control, life support, or weapons systems ("High-isk Applications"). Xilinx specifically disclaims any express or implied warranties of fitness for such High- isk Applications. You represent that use of the Design in such High-isk Applications is fully at your risk Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. PowerPC is a trademark of IBM, Inc. All other trademarks are the property of their respective owners. 修订历史 下表说明此技术文档的修订历史 日期版本修订 2006 年 4 月 14 日 1.0 Xilinx 最初版本 Virtex-5 用户指南

3 日期版本修订 2006 年 5 月 12 日 2006 年 7 月 19 日 2006 年 9 月 6 日 2006 年 10 月 12 日 2007 年 2 月 2 日 1.1 少量版面修改与澄清 第 1 章 : 修改图 1-21 第 2 章 : 修改图 2-2 和图 2-4 删除对 DCM_PS 基元的引用 从第 76 页删除过时的时钟控制向导部分 第 3 章 : 修改图 3-1 图 3-2 表 3-2 表 3-4 图 3-9 公式 3-8 和图 3-12 增加 Virtex-4 PMCD 传统模式下的 PLL 部分 第 4 章 : 为第 119 页的表 4-5 加注 澄清第 126 页上的 AMB36 端口映射设计规则 第 5 章 : 增加图 5-7 和图 5-11, 修改图 5-32, 以提高明确性 第 6 章 : 更新 同步开关输出限制 部分 第 7 章 : 修改第 310 页的 ILOGIC 资源 ( 包括图 7-1) 修改表 7-3 第 8 章 : 修改表 第 1 章 : 修改第 21 页的 全局时钟缓冲器, 以澄清单端时钟引脚 修改图 1-19 中 P 和 N 的 I/O 标识 第 4 章 : 增加第 127 页的 寄存器模式下的 Block AM SS 和第 137 页的 FIFO 架构 : 顶层视图 修改第 139 页 FIFO 操作 项下的 复位 说明 第 6 章 : 少量澄清性修改 将表 6-36 表 6-37 和表 6-38 中的 未使用 改成 不适用 第 7 章 : 小修改, 以澄清本章中的 IODELAY 第 8 章 : 第 349 页的 ISEDES 端口 中的澄清性小修改 2.0 在整个技术文档中增加 LXT 平台器件 第 1 章 : 修改第 38 页的图 1-22 更新第 33 页的 Clock Capable I/O 第 2 章 : 更新第 58 页的 输出时钟 第 4 章 : 澄清第 134 页上有关 FULL 和 EMPTY 标志的规则 第 5 章 : 修改第 172 页的 存储元件 第 6 章 : 更新第 231 页的 差分终端属性, 以使用最新语法和设置 更换 SSO 计算器的链接 2.1 在前言中增加 系统监视器用户指南 参考 在表 1-5 表 2-1 和表 5-2 中增加 XC5VLX85T 第 3 章 : 修改图 3-1 第 4 章 : 在第 120 页的表 4-7 中增加级联 修改第 118 页的图 4-9 中的 ADD 删除 内置纠错 部分中的擦除模式 第 5 章 : 修改第 191 页的图 在表 1-5 表 2-1 和表 5-2 增加三个 SXT 器件和 XC5VLX220T 第 4 章 : 澄清第 113 页的 同步时钟控制 中的措词 第 6 章 : 增加第 214 页的 DCI 级联 将表 6-39 中 SSTL18_II_T_DCI 的 V EF 改成 0.9 第 7 章 : 修改第 343 页的图 7-27 中的 OQ 第 8 章 : 第 350 页的 时钟使能输入 - CE1 和 CE2 Virtex-5 用户指南

4 日期版本修订 2007 年 9 月 11 日 3.1 第 1 章 : 增加第 20 页的 节能时钟门控 修改第 24 页的图 1-2 修改第 31 页的图 1-16 第 2 章 : 修改第 46 页的 复位输入 - ST 中的 DCM 复位和锁定过程 更新第 50 页的表 2-4 中的 DO[2] 说明 修改第 51 页上的乘数值范围 修改第 54 页的 FACTOY_JF 属性 的说明 修改第 58 页的 输出时钟, 更新第 67 页的图 2-7, 并且在第 69 页的图 2-10 中增加一个 BUFG 在第 65 页的动态重配置 (DP) 下增加加载新 M 和 D 值时的步骤 更新第 67 页的图 2-7 修改第 80 页的图 2-21 下带圆点项号的说明 第 3 章 : 更新第 84 页的图 3-1 为第 88 页的表 3-2 加注 为第 90 页的 相移 加注 在公式 3-3 到公式 3-6 中增加圆整运算 修改 CLKFBIN CLKFBDCM CLKFBOUT ST 和 LOCKED, 并且在第 91 页的表 3-3 中增加 EL 引脚和注 2 在第 93 页的表 3-4 中增加 ESET_ON_LOSS_OF_LOCK 属性 从 PLL 时钟输入信号 中删除关于通用布线的讨论 修改 缺失输入时钟或反馈时钟 部分 在图 3-13 中增加波形图 纠正第 105 页的图 3-17 和表 3-8 中的 Virtex-4 端口映射 第 4 章 : 修改并澄清 内置纠错 修改全部 WE 信号 澄清第 115 页的 简单双端口 Block AM 中的读回限制 修改第 120 页的 设置 / 复位 - SS[A B] 增加第 134 页的 Block AM 重定向 为第 140 页的表 4-16 修改延迟值并增加注 1 更新第 152 页的 级联 FIFO 以增加深度 第 5 章 : 在第 172 页的 存储元件 中澄清关于一个 Slice 中的公共控制信号的信息 第 6 章 : 更新第 216 页的 DCI 级联指南 删除对 带单向终端的 HSLVDCI 控制阻抗驱动器 的引用, 因为软件中不支持这种功能 为第 249 页的表 6-17 增加注 3 澄清对第 267 页的 SSTL ( 线脚系列终端逻辑 ) 的简介 修改第 268 页的 DIFF_SSTL2_II_DCI DIFF_SSTL18_II_DCI 纠正第 275 页的图 6-73 中的 DIFF_SSTL2_II 参考值 修改第 291 页的 在同组中合并 I/O 标准的规则 中的规则 2 和 3 从第 295 页的 上过冲 / 下过冲 中删除绝对最大值表 第 7 章 : 从第 313 页的 IDD 基元中删除 DDLY 端口 在第 321 页的表 7-10 中增加 SIGNAL _PATTEN DELAY_SC 和 EFCLK_FEQUENCY 属性 修改第 323 页的图 7-9 在第 331 页上, 删除表 7-12 从 DCM 生成参考时钟, 并更新 IDELAYCTL 端口 中的 EFCLK 部分 澄清第 332 页的 IDELAYCTL 位置 中的简介 修改第 340 页的 ODD 随路时钟 第 8 章 : 更新第 348 页的图 8-2 和表 8-1 中的 S 和 O 更新整个第 356 页的 BITSLIP 子模块 部分 纠正第 359 页的图 8-11 中的排版错误 Virtex-5 用户指南

5 前言 : 关于本指南 其他技术文档 其他支持资源 排版约定 联机技术文档 第 1 章 : 时钟资源 全局和区域时钟 全局时钟 区域时钟和 I/O 时钟 全局时钟控制资源 全局时钟输入 全局时钟输入缓冲器基元 节能时钟门控 全局时钟缓冲器 全局时钟缓冲器基元 其他使用模型 时钟树和时钟网 - GCLK 时钟区域 区域时钟控制资源 Clock Capable I/O I/O 时钟缓冲器 - BUFIO BUFIO 基元 BUFIO 使用模型 区域时钟缓冲器 - BUF BUF 基元 BUF 属性和模式 BUF 使用模型 区域时钟网 VHDL 和 Verilog 模板 第 2 章 : 时钟管理技术 时钟管理概述 DCM 概述 DCM 基元 DCM_BASE 基元 DCM_ADV 基元 DCM 端口 DCM 时钟输入端口 时钟源输入 - CLKIN 反馈时钟输入 - CLKFB 相移时钟输入 - PSCLK 动态重配置时钟输入 - DCLK DCM 控制和数据输入端口 复位输入 - ST 相移递增 / 递减输入 - PSINCDEC 相移使能输入 - PSEN 动态重配置数据输入 - DI[15:0] 动态重配置地址输入 - DADD[6:0] Virtex-5 用户指南

6 动态重配置写使能输入 - DWE 动态重配置使能输入 - DEN DCM 时钟输出端口 x 输出时钟 - CLK x 输出时钟,90 相移 - CLK x 输出时钟,180 相移 - CLK x 输出时钟,270 相移 - CLK x 输出时钟 - CLK2X x 输出时钟,180 相移 - CLK2X 分频输出时钟 - CLKDV 频率合成输出时钟 - CLKFX 频率合成输出时钟,180 - CLKFX DCM 状态和数据输出端口 锁定输出 - LOCKED 相移完成输出 - PSDONE 状态或动态重配置数据输出 - DO[15:0] 动态重配置就绪输出 - DDY DCM 属性 CLKDV_DIVIDE 属性 CLKFX_MULTIPLY 和 CLKFX_DIVIDE 属性 CLKIN_PEIOD 属性 CLKIN_DIVIDE_BY_2 属性 CLKOUT_PHASE_SHIFT 属性 CLK_FEEDBACK 属性 DESKEW_ADJUST 属性 DFS_FEQUENCY_MODE 属性 DLL_FEQUENCY_MODE 属性 DUTY_CYCLE_COECTION 属性 DCM_PEFOMANCE_MODE 属性 FACTOY_JF 属性 PHASE_SHIFT 属性 STATUP_WAIT 属性 DCM 设计指南 时钟去歪斜 时钟去歪斜工作原理 输入时钟要求 输入时钟变化 输出时钟 配置和启动过程中的 DCM 去歪斜调整 去歪斜电路的特性 频率合成 频率合成工作原理 频率合成器特性 相移 相移工作原理 PSEN PSINCDEC PSCLK 和 PSDONE 的相互作用 相移溢出 相移特性 动态重配置 将 DCM 连接到 Virtex-5 器件中的其他时钟资源 IBUFG 到 DCM DCM 到 BUFGCTL Virtex-5 用户指南

7 BUFGCTL 到 DCM PLL 到 DCM 和 DCM 到 PLL DCM 到 PMCD 和 PMCD 到 DCM 应用示例 标准用法 板级时钟生成 具有内部去歪斜的电路板去歪斜 两个 DCM 之间的时钟切换 从 PLL 输入的 DCM VHDL 和 Verilog 模板及时钟控制向导 DCM 时序模型 复位 / 锁定 固定相移 可变相移 状态标记 传统器件支持 第 3 章 : 锁相环 (PLL) 简介 锁相环 (PLL) 一般用法说明 PLL 基元 PLL_BASE 基元 PLL_ADV 基元 时钟网络去歪斜 仅用于频率合成 抖动滤波器 限制 VCO 的工作范围 最低和最高输入频率 占空比可编程性 相移 PLL 编程 确定输入频率 确定 M 和 D 值 PLL 端口 PLL 属性 PLL CLKIN1 和 CLKIN2 用法 PLL 时钟输入信号 计数器控制 时钟移相 VCO 和输出计数器的详细波形 参考时钟切换 缺失输入时钟或反馈时钟 PLL 使用模型 时钟网络去歪斜 零延迟缓冲器 DCM 驱动 PLL PLL 驱动 DCM PLL 到 PLL 的连接 应用指南 Virtex-5 用户指南

8 PLL 应用示例 Virtex-4 PMCD 传统模式下的 PLL 第 4 章 : Block AM Block AM 概述 Block AM 简介 同步双端口和单端口 AM 数据流 读操作 写操作 写模式 WITE_FIST 模式, 即透明模式 ( 默认 ) EAD_FIST 模式, 即先读后写模式 NO_CHANGE 模式 避免冲突 异步时钟控制 同步时钟控制 Virtex-5 器件中的其他 Block AM 功能 可选输出寄存器 独立读写端口宽度选择 简单双端口 Block AM 可级联 Block AM 字节宽度写使能 Block AM 纠错代码 Block AM 库基元 Block AM 端口信号 时钟 - CLK[A B] 使能 - EN[A B] 字节宽度写使能 - WE[A B] 寄存器使能 - EGCE[A B] 设置 / 复位 - SS[A B] 地址总线 - ADD[A B]<13:#><14:#><15:#> 数据输入总线 - DI[A B]<#:0> 和 DIP[A B]<#:0> 数据输出总线 - DO[A B]<#:0> 和 DOP[A B]<#:0> 级联输入 - CASCADEINLAT[A B] 和 CASCADEINEG[A B] 级联输出 - CASCADEOUTLAT[A B] 和 CASCADEOUTEG[A B] 反转控制引脚 GS 未用输入 Block AM 地址映射 Block AM 属性 内容初始化 - INIT_xx 内容初始化 - INITP_xx 输出锁存器初始化 - INIT (INIT_A 或 INIT_B) 输出锁存器 / 寄存器同步设置 / 复位 (SVAL_[A B]) 可选输出寄存器开关 - DO[A B]_EG 扩展模式地址判定 - AM_EXTENSION_[A B] 读宽度 - EAD_WIDTH_[A B] 写宽度 - WITE_WIDTH_[A B] 写模式 - WITE_MODE_[A B] Block AM 位置约束 Virtex-5 用户指南

9 用 VHDL 或 Verilog 代码初始化 Block AM 其他 AMB18 和 AMB36 基元设计考虑事项 可选输出寄存器 独立读写端口宽度选择 AMB18 和 AMB36 端口映射设计规则 可级联 Block AM 字节宽度写使能 其他 Block AM 基元 Block AM 应用 创建较大的 AM 结构 寄存器模式下的 Block AM SS Block AM 时序模型 Block AM 时序参数 Block AM 时序特性 时钟事件 时钟事件 时钟事件 时钟事件 Block AM 时序模型 Block AM 重定向 内置 FIFO 支持 多速率 FIFO 同步 FIFO 同步 FIFO 的实现 FIFO 架构 : 顶层视图 FIFO 基元 FIFO 端口描述 FIFO 操作 复位 操作模式 标准模式 首字直通 (FWFT) 模式 状态标记 Empty 标记 Almost Empty 标记 ead Error 标记 Full 标记 Write Error 标记 Almost Full 标记 FIFO 的属性 FIFO Almost Full/Empty 标记偏移范围 FIFO 的 VHDL 和 Verilog 模板 FIFO 时序模型和参数 FIFO 时序特性 情形 1: 写入空 FIFO 情形 2: 写入满或近满 FIFO 情形 3: 从满 FIFO 中读取 情形 4: 从空或近空 FIFO 中读取 情形 5: 复位所有标记 情形 6: 多速率 FIFO 的同时读取 Virtex-5 用户指南

10 FIFO 应用 级联 FIFO 以增加深度 并联 FIFO 以增加宽度 内置纠错 ECC 模式概述 Block AM ECC 架构的顶层视图 Block AM 和 FIFO ECC 基元 Block AM 和 FIFO ECC 端口描述 Block AM 和 FIFO ECC 属性 ECC 的操作模式 标准 ECC ECC 只编码模式 ECC 只解码模式 ECC 时序特性 标准 ECC 写时序 ( 图 4-31) 标准 ECC 读时序 ( 图 4-32) 只编码 ECC 写时序 ( 图 4-31) 只编码 ECC 读时序 只解码 ECC 写时序 只解码 ECC 读时序 Block AM ECC 模式时序参数 在 72 位字中制造故意错误 为 64 位字创建八个奇偶校验位 将单位元或双位元错误插入 72 位字 Block AM ECC 的 VHDL 和 Verilog 模板 第 5 章 : 可配置逻辑块 (CLB) CLB 概述 Slice 描述 CLB/Slice 配置 查找表 (LUT) 存储元件 分布式 AM 和存储器 ( 只能在 SLICEM 中使用 ) 只读存储器 (OM) 移位寄存器 ( 只能在 SLICEM 中使用 ) 多路复用器 设计大型多路复用器 快速先行进位逻辑 CLB/Slice 时序模型 通用 Slice 时序模型与参数 时序参数 时序特性 Slice 分布式 AM 时序模型与参数 ( 只能在 SLICEM 中使用 ) 分布式 AM 时序参数 分布式 AM 时序特性 Slice SL 时序模型与参数 ( 只能在 SLICEM 中使用 ) Slice SL 时序参数 Slice SL 时序特性 Slice 进位链时序模型与参数 Slice 进位链时序特性 CLB 基元 分布式 AM 基元 Virtex-5 用户指南

11 端口信号 移位寄存器 (SL) 基元 端口信号 其他移位寄存器应用 同步移位寄存器 静态长度移位寄存器 多路复用器基元 端口信号 进位链基元 端口信号 第 6 章 : SelectIO 资源 I/O 模块概述 SelectIO 资源简介 SelectIO 资源一般指导原则 Virtex-5 I/O 组规则 参考电压 (V EF ) 引脚 输出驱动源电压 (V CCO ) 引脚 Virtex-5 数控阻抗 (DCI) 简介 DCI 级联 Xilinx DCI 可控阻抗驱动器 ( 源终端 ) 半阻抗可控阻抗驱动器 ( 源终端 ) 接 VCCO 的输入终端 ( 单终端 ) 接 VCCO/2 的输入终端 ( 分裂终端 ) 终端接 V CCO ( 单终端 ) 的驱动器 终端接 V CCO /2 ( 分裂终端 ) 的驱动器 Virtex-5 I/O 标准中的 DCI DCI 用法示例 Virtex-5 SelectIO 基元 IBUF 和 IBUFG OBUF OBUFT UF IBUFDS 和 IBUFGDS OBUFDS OBUFTDS UFDS Virtex-5 SelectIO 属性 / 约束 位置约束 IOSTANDAD 属性 输出斜率属性 输出驱动强度属性 IBUF OBUFT 和 UF 的 PULLUP/PULLDOWN/KEEPE 差分终端属性 Virtex-5 I/O 资源 VHDL/Verilog 示例 关于 Virtex-5 I/O 所支持标准的具体指导原则 LVTTL ( 低压晶体管 - 晶体管逻辑 ) LVCMOS ( 低压互补金属氧化物半导体 ) LVDCI ( 低压数控阻抗 ) LVDCI_DV Virtex-5 用户指南

12 HSLVDCI ( 高速低压数控阻抗 ) PCIX PCI33 PCI66 ( 外设组件接口 ) GTL ( 射电收发器逻辑 ) GTL_DCI 用法 GTLP ( 射电收发器逻辑增强版 ) GTLP_DCI 用法 HSTL ( 高速收发器逻辑 ) HSTL_ I HSTL_ III HSTL_ I_18 HSTL_ III_18 HSTL_I_ HSTL_ I_DCI HSTL_ III_DCI HSTL_ I_DCI_18 HSTL_ III_DCI_ HSTL_ II HSTL_ IV HSTL_ II_18 HSTL_ IV_ HSTL_ II_DCI HSTL_ IV_DCI HSTL_ II_DCI_18 HSTL_ IV_DCI_ HSTL_ II_T_DCI HSTL_ II_T_DCI_ DIFF_HSTL_ II DIFF_HSTL_II_ DIFF_HSTL_II_DCI DIFF_HSTL_II_DCI_ DIFF_HSTL_I DIFF_HSTL_I_ DIFF_HSTL_I_DCI DIFF_HSTL_I_DCI_ HSTL I 类 差分 HSTL I 类 HSTL II 类 差分 HSTL II 类 HSTL III 类 HSTL IV 类 HSTL_II_T_DCI (1.5V) 分裂 Thevenin 终端 HSTL I 类 (1.8V) 差分 HSTL I 类 (1.8V) HSTL II 类 (1.8V) 差分 HSTL II 类 (1.8V) HSTL III 类 (1.8V) HSTL IV 类 (1.8V) HSTL_II_T_DCI_18 (1.8V) 分裂 Thevenin 终端 HSTL I 类 (1.2V) SSTL ( 线脚系列终端逻辑 ) SSTL2_I SSTL18_I SSTL2_I_DCI SSTL18_I_DCI SSTL2_II SSTL18_II SSTL2_II_DCI SSTL18_II_DCI DIFF_SSTL2_I DIFF_SSTL18_I DIFF_SSTL2_I_DCI DIFF_SSTL18_I_DCI DIFF_SSTL2_II DIFF_SSTL18_II DIFF_SSTL2_II_DCI DIFF_SSTL18_II_DCI SSTL2_II_T_DCI SSTL18_II_T_DCI SSTL2 I 类 (2.5V) 差分 SSTL2 I 类 (2.5V) SSTL2 II 类 (2.5V) 差分 SSTL2 II 类 (2.5V) SSTL2_II_T_DCI (2.5V) 分裂 Thevenin 终端 SSTL18 I 类 (1.8V) 差分 SSTL I 类 (1.8V) SSTL18 II 类 (1.8V) 差分 SSTL II 类 (1.8V) SSTL18_II_T_DCI (1.8V) 分裂 Thevenin 终端 差分终端 :DIFF_TEM 属性 LVDS 和扩展 LVDS ( 低压差分信令 ) 发射器终端 Virtex-5 用户指南

13 接收器终端 HyperTransport 协议 (HT) 低摆幅差分信令 (SDS) BLVDS ( 总线 LVDS) 差分 LVPECL ( 低压正发射极耦合逻辑 ) LVPECL 收发器终端 在同组中合并 I/O 标准的规则 V I/O 设计指导原则 I/O 标准设计规则 混合方法 同步开关输出限制 稀疏锯齿形 (Sparse-Chevron) 封装 标称 PCB 指标 PCB 结构 信号回流管理 负载迹线 功率分配系统设计 标称 SSO 极限值 实际 SSO 极限值与标称 SSO 极限值的关系 SSO 噪声的电气原理 寄生系数降额法 (PFDM) SSO 的加权平均计算 全器件 SSO 计算器 其他 SSO 假定条件 LVDCI 和 HSLVDCI 驱动器 组 第 7 章 : SelectIO 逻辑资源 简介 ILOGIC 资源 组合输入通路 输入 DD 概述 (IDD) OPPOSITE_EDGE 模式 SAME_EDGE 模式 SAME_EDGE_PIPELINED 模式 输入 DD 基元 (IDD) IDD 的 VHDL 和 Verilog 模板 ILOGIC 时序模型 ILOGIC 时序特性 ILOGIC 时序特性,DD 输入 / 输出延迟单元 (IODELAY) IODELAY 基元 IODELAY 端口 IODELAY 属性 IODELAY 时序 递增 / 递减操作后的稳定性 IODELAY 的 VHDL 和 Verilog 实例模板 IODELAY 转换时间使用模型 IDELAYCTL 概述 IDELAYCTL 基元 IDELAYCTL 端口 IDELAYCTL 时序 Virtex-5 用户指南

14 IDELAYCTL 位置 IDELAYCTL 用法及设计指导原则 OLOGIC 资源 组合输出数据和三态控制通路 输出 DD 概述 (ODD) OPPOSITE_EDGE 模式 SAME_EDGE 模式 随路时钟 输出 DD 基元 (ODD) ODD 的 VHDL 和 Verilog 模板 OLOGIC 时序模型 时序特性 第 8 章 : 高级 SelectIO 逻辑资源 简介 输入串并转换逻辑资源 (ISEDES) ISEDES 基元 ISEDES 端口 寄存输出 - Q1 到 Q Bitslip 操作 - BITSLIP 时钟使能输入 - CE1 和 CE 高速时钟输入 - CLK 分频时钟输入 - CLKDIV 来自 的串行输入数据 - D 选通存储器接口的高速时钟 - OCLK ISEDES 属性 BITSLIP_ENABLE 属性 DATA_ATE 属性 DATA_WIDTH 属性 INTEFACE_TYPE 属性 NUM_CE 属性 SEDES_MODE 属性 ISEDES 宽度扩展 扩展串并转换器位宽的指导原则 ISEDES 延迟 ISEDES 时序模型和参数 时序特性 :1 SD ISEDES ISEDES 的 VHDL 和 Verilog 实例模板 BITSLIP 子模块 Bitslip 操作 Bitslip 时序模型和参数 输出并串转换逻辑资源 (OSEDES) 数据并串转换器 三态并串转换 OSEDES 基元 OSEDES 端口 数据通路输出 - OQ 三态控制输出 - TQ 高速时钟输入 - CLK 分频时钟输入 - CLKDIV 并行数据输入 - D1 到 D Virtex-5 用户指南

15 输出数据时钟使能 - OCE 并行三态输入 - T1 到 T 三态信号时钟使能 - TCE OSEDES 属性 DATA_ATE_OQ 属性 DATA_ATE_TQ 属性 DATA_WIDTH 属性 SEDES_MODE 属性 TISTATE_WIDTH 属性 OSEDES 宽度扩展 扩展并串转换器位宽的指导原则 OSEDES 延迟 OSEDES 时序模型和参数 时序特性 OSEDES 的 VHDL 和 Verilog 实例模板 索引 Virtex-5 用户指南

16 Virtex-5 用户指南

17 前言 关于本指南 本技术文档介绍 Virtex -5 架构 有关 Virtex-5 系列 FPGA 的最新完整技术文档可以从 Xilinx 网站获得, 网址是 其他技术文档 还可以从 下载下列技术文档 Virtex-5 系列概述本概述简要介绍 Virtex-5 系列的功能和产品选择 Virtex-5 数据手册 : 直流和开关特性本数据手册包含 Virtex-5 系列的直流和开关特性指标 Virtex-5 ocketio GTP 收发器用户指南本指南介绍 Virtex-5 LXT 和 SXT 平台器件中提供的 ocketio GTP 收发器 Virtex-5 三态以太网媒体访问控制器用户指南本指南介绍 Virtex-5 LXT 和 SXT 平台器件中提供的专用三态以太网媒体访问控制器 用于 PCI Express 设计的 Virtex-5 集成端点模块用户指南本指南介绍兼容 PCI Express 的 Virtex-5 LXT 和 SXT 平台器件中的集成端点模块 XtremeDSP 设计考虑因素本指南介绍 XtremeDSP Slice, 并包含使用 DSP48E 的参考设计 Virtex-5 配置指南本配置指南包罗万象, 包含有关配置接口 ( 串行和 SelectMAP) 比特流加密 边界扫描和 JTAG 配置 重配置技术以及通过 SelectMAP 和 JTAG 接口读回的章节 Virtex-5 系统监视器用户指南本指南简要介绍所有 Virtex-5 器件中提供的系统监视器功能 Virtex-5 用户指南 17

18 第章 : Virtex-5 封装技术规范本规范包含器件 / 封装组合和最大 I/O 数表 引脚定义表 管脚布局表 管脚图 机械图和热性能技术规范 Virtex-5 PCB 设计指南本指南提供有关 Virtex-5 器件的 PCB 设计的信息, 重点讲述在 PCB 和接口层制定设计决策的策略 其他支持资源 若要搜索硅片数据库和软件问题解答或者在 WebCase 中创建技术支持案例, 请访问 Xilinx 的网站, 网址是 : 排版约定 本技术文档使用以下排版约定, 并举例说明各项约定 约定意义或用途示例 斜体字 引用其他技术文档 强调文字 有关详情, 请见 Virtex-5 配置指南 地址 (F) 在时钟事件 2 之后方可置为有效 下划线文字指示网页链接 联机技术文档 本技术文档使用以下约定 : 约定意义或用途示例 蓝色文字 红色文字 当前技术文档某位置的交叉引用链接 另一技术文档某位置的交叉引用链接 详情请见 其他技术文档 部分 详情请参考第 2 章 时钟管理技术 请见 Virtex-5 数据手册 中的图 5 蓝色下划线文字网站超级链接 ( 网址 ) 请转到 查阅最新技术文档 18 Virtex-5 用户指南

19 第 1 章 时钟资源 全局和区域时钟 全局时钟 为时钟控制之目的, 每个 Virtex-5 器件分成若干个时钟区域 区域数因器件大小而异, 从最小器件的八个区域到最大器件的 24 个区域 每个 Virtex-5 器件有 32 条全局时钟线, 它们可以对整个器件上的所有顺序资源 (CLB Block AM CMT 和 I/O) 进行时钟控制, 并且还可以驱动逻辑信号 可以将这 32 条全局时钟线中的任何十条用于任意区域 全局时钟线仅由一个全局时钟缓冲器驱动, 该全局时钟缓冲器还可用作时钟使能电路或无毛刺信号的多路复用器 它可以在两个时钟源之间进行选择, 还可以切离其中一个失效的时钟源 一个全局时钟缓冲器常常由一个时钟管理模块 (CMT) 驱动, 以消除时钟分配延迟或调整其相对于另一时钟的延迟 全局时钟多于 CMT, 但一个 CMT 常常可驱动多个全局时钟 区域时钟和 I/O 时钟 每区域有两个区域时钟缓冲器和四个区域时钟树 除了中心列中的某些组 (bank) 以外, 一个 Virtex-5 I/O 组恰好横跨一个区域 大小与区域完全相同的每个组含有四个 clockcapable 时钟输入 其中每个输入可以差分驱动或单端驱动同一组或区域中的四个 I/O 时钟和两个区域时钟 另外, 区域时钟可以驱动相邻区域中的区域时钟树 如果 clockcapable I/O 由单端时钟驱动, 则时钟必须连接到差分 clock capable 引脚对的正 (P) 端 负 (N) 端可以用作通用 I/O 或者空出不连接 通过对区域时钟缓冲器进行编程可对输入时钟的频率以 1 到 8 的任意整数进行分频 这一功能与 中的可编程串行器 / 解串器 ( 请见第 8 章 高级 SelectIO 逻辑资源 ) 配合, 使源同步系统无需使用附加逻辑资源即可跨时钟域 第三种时钟控制资源是 I/O 时钟, 其速度非常快, 可用于局部化的 I/O 串行器 / 解串器电路 请见第 8 章 高级 SelectIO 逻辑资源 Virtex-5 用户指南 19

20 第 1 章 : 时钟资源 全局时钟控制资源 全局时钟输入 全局时钟是一种专用互连网络, 是专为覆盖对 FPGA 中各种资源的所有时钟输入设计的 这些网络的设计旨在降低歪斜 占空比失真和功耗并提高抖动容限 其设计目的还在于支持甚高频信号 了解全局时钟的信号通路可以加深对各种全局时钟资源的理解 全局时钟控制资源和网络由以下通路和组件构成 : 全局时钟输入 全局时钟缓冲器 时钟树和时钟网 - GCLK 时钟区域 Virtex-5 FPGA 包含专用的全局时钟输入位置, 这些输入位置即使不用作时钟输入, 也可用作常规用户 I/O 每个器件有 20 个全局时钟输入 时钟输入可以按任意 I/O 标准配置, 包括差分 I/O 标准 每个时钟输入可以是单端输入, 也可以是差分输入 如果需要, 全部 20 个时钟输入都可以是差分输入 当用作输出时, 全局时钟输入引脚可以按任意输出标准配置 每个全局输入引脚可支持任何单端或差分输出标准 全局时钟输入缓冲器基元 表 1-1 中的基元是输入时钟 I/O 输入缓冲器的不同配置 表 1-1: 节能时钟门控 时钟缓冲器基元 基元输入输出描述 IBUFG I O 单端 I/O 的输入时钟缓冲器 IBUFGDS I IB O 差分 I/O 的输入时钟缓冲器 通过将 IOSTANDAD 属性设置成所需标准, 这两个基元与 Virtex-5 I/O 资源配合工作 有关可用 I/O 标准的完整列表, 请查阅第 6 章的表 6-39 I/O 兼容性 Virtex-5 时钟架构提供一种直截了当的方法, 为降低设计中某些部分的功耗而实现时钟门控 多数设计都包含若干未使用的 BUFGMUX 资源 一个时钟可以驱动多个 BUFGMUX 输入, 而相互间同步的 BUFGMUX 输出则可以驱动截然不同的逻辑区域 例如, 如果将要求始终运行的全部逻辑都限制在几个时钟控制区域, 则一个 BUFGMUX 输出就可以驱动这些区域 翻转另一 BUFGMUX 的使能, 可以为停止可能节能的逻辑区域中的动态功率消耗提供一种简单的方法 20 Virtex-5 用户指南

21 全局时钟控制资源 全局时钟缓冲器 XPower 工具估计节能情况, 通过翻转 BUFGMUX 的使能或者将相应时钟网的频率设置为 0 MHz 来计算差值 每个 Virtex-5 器件有 32 个全局时钟缓冲器 每半个晶片 ( 上半 / 下半 ) 包含 16 个全局时钟缓冲器 一个全局时钟输入可以从差分输入引脚对的 P 端直接连接到器件同一半 ( 上半或下半 ) 中的任意全局时钟缓冲器的输入 每个差分全局时钟引脚对可以连接到 PCB 上的一个差分或单端时钟 如果使用单端时钟, 则必须使用引脚对的 P 端, 因为只有这一引脚上存在直接连接 有关引脚命名的约定, 请参阅 Virtex-5 封装技术规范 必须将一个单端时钟连接到差分全局时钟引脚的正 (P) 端 如果单端时钟连接到差分引脚对的 P 端, 则不能用其 N 端作为另一个单端时钟引脚 不过, 可以将其用作普通 I/O Virtex-5 器件上的 20 个全局时钟引脚可以连接到 20 个差分电路板时钟或 20 个单端电路板时钟 全局时钟缓冲器允许各种时钟源 / 信号源接入全局时钟树和网 可以输入全局时钟缓冲器的源包括 : 全局时钟输入 时钟管理模块 (CMT) 输出, 包括 : 数字时钟管理器 (DCM) 锁相环 (PLL) 其他全局时钟缓冲器输出 通用互连全局时钟缓冲器只能由同半个晶片 ( 上半 / 下半 ) 中的源驱动 所有全局时钟缓冲器都可以驱动 Virtex-5 器件中的全部时钟区域 ( 无需遵守 Virtex-II 和 Virtex-II Pro FPGA 的主要 / 次要规则 ), 但是, 在一个时钟区域中仅能驱动十个不同的时钟 一个时钟区域 (20 个 CLB) 是由上十个 CLB 行和下十个 CLB 行组成的时钟树的一个枝 一个时钟区域仅横跨器件的一半 时钟缓冲器的设计允许将其配置成一个具有两个时钟输入的同步或异步无毛刺信号 2:1 多路复用器 Virtex-5 控制引脚提供了广泛的功能性和可靠的输入切换性能 以下各小节详述 Virtex-5 时钟缓冲器的各种配置 基元和使用模型 Virtex-5 用户指南 21

22 第 1 章 : 时钟资源 全局时钟缓冲器基元 表 1-2 中的基元是全局时钟缓冲器的不同配置 表 1-2: 全局时钟缓冲器基元 BUFGCTL 基元输入输出控制 BUFGCTL I0 I1 O CE0 CE1 IGNOE0 IGNOE1 S0 S1 BUFG I O - BUFGCE I O CE BUFGCE_1 I O CE BUFGMUX I0 I1 O S BUFGMUX_1 I0 I1 O S BUFGMUX_VITEX4 (2) I0 I1 O S 注 : 1. 所有基元均出自 BUFGCTL 的软件预设置 2. BUFGMUX_VITEX4 是从 Virtex-4 系列沿用下来的传统基元名称 图 1-1 所示 BUFGCTL 基元可以在两个异步时钟之间切换 所有其他全局时钟缓冲器基元均出自 BUFGCTL 的某些配置 ISE 软件工具可管理所有这些基元的配置 BUFGCTL 具有 S0 S1 CE0 和 CE1 四条选择线 它还有 IGNOE0 和 IGNOE1 两条附加控制线路 这六条控制线路用于控制输入 I0 和 I1 BUFGCTL IGNOE1 CE1 S1 I1 O I0 S0 CE0 IGNOE0 ug190_1_01_ 图 1-1: BUFGCTL 基元 22 Virtex-5 用户指南

23 全局时钟控制资源 BUFGCTL 的设计目的是在两个时钟输入之间切换而不会产生毛刺信号 当目前所选时钟在 S0 和 S1 变化后从 High 转为 Low 时, 输出保持为 Low, 直到另一 ( 待选 ) 时钟从 High 转为 Low 然后, 新的时钟开始驱动输出 BUFGCTL 的默认配置是对下降沿敏感, 并且在输入切换之前保持为 Low BUFGCTL 也可以对上升沿敏感, 并且在输入切换之前保持为 High 在某些应用中, 并不需要前述条件 将 IGNOE 引脚置为有效会忽略 BUFGCTL 检测在两个时钟输入之间进行切换的条件 换言之, 将 IGNOE 置为有效会使多路复用器在选择引脚变化的瞬间切换输入 IGNOE0 使输出在选择引脚变化时立即切离 I0 输入, 而 IGNOE1 则使输出在选择引脚变化时立即切离 I1 输入 输入时钟的选择需要将一个 选择 对 (S0 和 CE0 或者 S1 和 CE1) 置为 High 有效 如果 S 和 CE 二者之一未置为 High 有效, 就不会选择所需的输入 在正常操作中, 并不希望将 S 对和 CE 对二者 ( 全部四条选择线 ) 同时置为 High 有效 通常仅将 选择 对的一个引脚用作选择线, 而将另一引脚固定为 High 真值表如表 1-3 所示 表 1-3: 时钟资源真值表 CE0 S0 CE1 S1 O X I0 1 1 X 0 I0 0 X 1 1 I1 X I 旧输入 (1) 注 : 1. 旧输入是指获得此状态之前的有效输入时钟 2. 对于所有其他状态, 输出变为 INIT_OUT 的值并且不翻转 虽然 S 和 CE 二者都用于选择所需输出, 但其中各引脚的行为略有不同 当使用 CE 切换时钟时, 时钟选择的变化会比使用 S 时更快 违反 CE 引脚的建立 / 保持时间会在时钟输出端造成毛刺信号 另一方面, 使用 S 引脚允许用户在两个时钟输入之间切换而无须顾及建立 / 保持时间 这样不会导致毛刺信号 请见 BUFGMUX_VITEX4 CE 引脚的设计允许从 Virtex-II 和 Virtex-II Pro FPGA 向后兼容 Virtex-5 用户指南 23

24 第 1 章 : 时钟资源 图 1-2 中的时序图用 BUFGCTL 基元说明各种时钟切换条件 速度指标中明确提供了确切的时序参数 I I1 CE0 T BCCCK_CE CE1 S0 S1 IGNOE0 IGNOE1 T BCCKO_O TBCCKO_O T BCCKO_O O at I0 Begin I1 Begin I0 ug190_1_02_ 图 1-2: BUFGCTL 时序图 在时间事件 1 之前, 输出 O 为输入 I0 在时间事件 1 处上升沿之前的 T BCCCK_CE 时段,CE0 和 S0 均置为 Low 无效 几乎在同时,CE1 和 S1 均置为 High 有效 在时间事件 3 之后的 T BCCKO_O 时段, 输出 O 为输入 I1 这发生在 I0 ( 事件 2) 从 High 向 Low 转换紧接 I1 从 High 向 Low 转换之后 在时间事件 4 处,IGNOE1 置为有效 在时间事件 5 处,CE0 和 S0 置为 High 有效, 而 CE1 和 S1 置为 Low 无效 在时间事件 6 之后的 T BCCKO_O 时段, 输出 O 在不要求 I1 从 High 向 Low 转换的情况下从 I1 切换到 I0 BUFGCTL 的其他功能有 : 在配置之后但在器件运行之前, 预选 I0 和 I1 输入 可以将配置后的初始输出选定为 High 或 Low 仅用 CE0 和 CE1(S0 和 S1 固定为 High) 选择时钟, 无须等待预选的时钟从 High 向 Low 转换即可改变时钟选择 24 Virtex-5 用户指南

25 全局时钟控制资源 表 1-4 概述了 BUFGCTL 基元的属性 表 1-4: BUFG BUFGCTL 属性 属性名称描述可能值 INIT_OUT PESELECT_I0 PESELECT_I1 将 BUFGCTL 输出初始化为配置后的指定值 设定正沿或负沿的行为 设定改变时钟选择时的输出电平 0 ( 默认 ) 1 如果为 TUE, 则 BUFGCTL 输出将使用配置后 FALSE ( 默认 ) 的 I0 输入 (1) TUE 如果为 TUE, 则 BUFGCTL 输出将使用配置后 FALSE ( 默认 ) 的 I1 输入 (1) TUE 注 : 1. 两个 PESELECT 属性不能同时为 TUE 2. 可用位置约束 BUFG 其实就是具有一个时钟输入和一个时钟输出的时钟缓冲器 此基元在 BUFGCTL 的基础上将某些引脚连接到逻辑 High 或 Low 图 1-3 所示为 BUFG 与 BUFGCTL 的关系 可以对 BUFG 使用位置约束 V DD GND GND IGNOE1 CE1 S1 BUFG I O V DD I I1 I0 O V DD S0 V DD CE0 GND IGNOE0 ug190_1_03_ 图 1-3: BUFG 作为 BUFGCTL 如图 1-4 中的时序图所示, 输出是跟随在输入之后 BUFG(I) BUFG(O) T BCCKO_O ug190_1_04_ 图 1-4: BUFG 时序图 Virtex-5 用户指南 25

26 第 1 章 : 时钟资源 BUFGCE 和 BUFGCE_1 与 BUFG 不同,BUFGCE 是具有一个时钟输入 一个时钟输出和一条时钟使能线的时钟缓冲器 此基元在 BUFGCTL 的基础上将某些引脚连接到逻辑 High 或 Low 图 1-5 所示为 BUFGCE 与 BUFGCTL 的关系 可以对 BUFGCE 和 BUFGCE_1 使用位置约束 CE BUFGCE BUFGCE as BUFGCTL IGNOE1 V DD CE1 GND GND S1 I O V DD I I1 I0 O V DD S0 CE CE0 GND IGNOE0 ug190_1_05_ 图 1-5: BUFGCE 作为 BUFGCTL BUFGCE 的切换条件与 BUFGCTL 的相似 如果 CE 输入在后续上升时钟沿之前为 Low, 则下一个时钟脉冲不通过时钟缓冲器, 输出保持为 Low 在下一个时钟 High 脉冲期间, CE 的任何电平变化都不起作用, 直到时钟转换为 Low 禁用时钟后, 输出保持为 Low 不过, 在禁用时钟的过程中, 它会完成时钟 High 脉冲 因为时钟使能线使用 BUFGCTL 的 CE 引脚, 所以选择信号必须满足建立时间要求 违反这一建立时间可能导致毛刺信号 图 1-6 所示为 BUFGCE 的时序图 BUFGCE(I) BUFGCE(CE) T BCCCK_CE BUFGCE(O) T BCCKO_O ug190_1_06_ 图 1-6: BUFGCE 时序图 除了切换条件外,BUFGCE_1 与 BUFGCE 相似 如果 CE 输入在后续下降时钟沿之前为 Low, 则下一个时钟脉冲不通过时钟缓冲器, 输出保持为 High 在下一个时钟 Low 脉冲期间,CE 的任何电平变化都不起作用, 直到时钟转换为 High 禁用时钟后, 输出保持为 High 不过, 在禁用时钟的过程中, 它会完成时钟 Low 脉冲 图 1-7 所示为 BUFGCE_1 的时序图 26 Virtex-5 用户指南

27 全局时钟控制资源 BUFGCE_1(I) BUFGCE_1(CE) T BCCCK_CE BUFGCE_1(O) T BCCKO_O ug190_1_07_ 图 1-7: BUFGCE_1 时序图 BUFGMUX 和 BUFGMUX_1 BUFGMUX 是具有两个时钟输入 一个时钟输出和一条选择线的时钟缓冲器 此基元在 BUFGCTL 的基础上将某些引脚连接到逻辑 High 或 Low 图 1-8 所示为 BUFGMUX 与 BUFGCTL 的关系 可以对 BUFGMUX 和 BUFGCTL 使用位置约束 S GND V DD IGNOE1 CE1 S1 BUFGMUX I1 I0 O I1 I0 O S V DD GND S0 CE0 IGNOE0 ug190_1_08_ 图 1-8: BUFGMUX 作为 BUFGCTL 因为 BUFGMUX 用 CE 引脚作为选择引脚, 所以在使用选择功能时必须满足建立时间要求 违反这一建立时间可能导致毛刺信号 BUFGMUX 的切换条件与 BUFGCTL 上 CE 引脚的相同 图 1-9 所示为 BUFGMUX 的时序图 S T BCCCK_CE I0 I1 O T BCCKO_O begin switching using I1 T BCCKO_O ug190_1_09_ 图 1-9: BUFGMUX 时序图 Virtex-5 用户指南 27

28 第 1 章 : 时钟资源 在图 1-9 中 : 当前时钟是 I0 S 为 High 有效 如果 I0 当前为 High, 则多路复用器等待将 I0 置为 Low 无效 一旦 I0 为 Low, 则多路复用器输出保持为 Low, 直到 I1 从 High 转为 Low 当 I1 从 High 转为 Low 时, 输出切换到 I1 如果满足了建立 / 保持要求, 输出上就不会出现毛刺信号或短脉冲 BUFGMUX_1 对上升沿敏感, 并且在输入切换之前保持为 High 图 1-10 所示为 BUFGMUX_1 的时序图 可以对 BUFGMUX 和 BUFGMUX_1 使用位置约束 S TBCCCK_CE I0 I1 O TBCCKO_O ug190_1_10_ 图 1-10: BUFGMUX_1 时序图 在图 1-10 中 : 当前时钟是 I0 S 置为 High 有效 如果 I0 当前为 Low, 则多路复用器等待将 I0 置为 High 有效 一旦 I0 为 High, 则多路复用器输出保持为 High, 直到 I1 从 Low 转为 High 当 I1 从 Low 转为 High 时, 输出切换到 I1 如果满足了建立 / 保持要求, 输出上就不会出现毛刺信号或短脉冲 BUFGMUX_VITEX4 BUFGMUX_VITEX4 是具有两个时钟输入 一个时钟输出和一条选择线的时钟缓冲器 此基元在 BUFGCTL 的基础上将某些引脚连接到逻辑 High 或 Low 图 1-11 所示为 BUFGMUX_VITEX4 与 BUFGCTL 的关系 28 Virtex-5 用户指南

29 全局时钟控制资源 S GND V DD IGNOE1 CE1 S1 BUFGMUX_VITEX4 I1 I0 O I1 I0 O S V DD GND S0 CE0 IGNOE0 ug190_1_11_ 图 1-11: BUFGMUX_VITEX4 作为 BUFGCTL BUFGMUX_VITEX4 使用 S 引脚作为选择引脚 S 可随时切换而不引起毛刺 S 上的建立 / 保持时间用于确定输出是否在切换到新时钟之前传送预选时钟的一个附加脉冲 在建立时间 T BCCCK_S 之前以及在 I0 从 High 向 Low 转换之前, 如果 S 如图 1-12 所示变化, 则输出不会传送 I0 的附加脉冲 如果 S 在其保持时间后发生变化, 则输出会传送一个附加脉冲 如果 S 违反建立 / 保持要求, 则输出可能传送附加脉冲, 但不会产生毛刺 在任一情况下, 输出都会在较慢时钟的三个时钟周期内转换到新时钟 S0 和 S1 的建立 / 保持要求是对下降沿 ( 设 INIT_OUT = 0) 而言, 不像 CE0 和 CE1 的建立 / 保持要求那样是对上升沿而言 BUFGMUX_VITEX4 的切换条件与 BUFGCTL 上 S 引脚的相同 图 1-12 所示为 BUFGMUX_VITEX4 的时序图 S I0 I1 O T BCCKO_O T BCCKO_O ug190_1_12_ 图 1-12: BUFGMUX_VITEX4 时序图 BUFGMUX_VITEX4 基元的其他功能有 : 在配置之后预选 I0 和 I1 输入 可以将配置后的初始输出选定为 High 或 Low Virtex-5 用户指南 29

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

Basic Virtex-II Architecture

Basic Virtex-II Architecture Virtex-II 基本架构 目标 完成此模块的学习后 你将会 了解 Virtex-II FPGA 的基本架构资源 Virtex-II 基本架构 - 2-3 概览 综述 CLB 资源 I/O 资源 Virtex-II 的其它特性 Virtex-II Pro 的特性 总结 附录 Virtex-II 基本架构 - 2-4 FPGA 架构的综述 Xilinx 所有的 FPGA 都包含有相同的基本资源 可配置逻辑块

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是

关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 关 于 瓶 装 水, 你 不 得 不 知 的 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 关 于 瓶 装 水, 你 不 得 不 知 的 8 件 事 情 1 水 质 : 瓶 装 的, 不 一 定 就 是 更 好 的 2 生 产 : 监 管 缺 位, 消 费 者 暴 露 于 风 险 之 中 人 们 往 往 假 定 瓶 装 水 是 干 净 安 全 健 康 的, 广 告 传 递

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - CVersion201412.doc

Microsoft Word - CVersion201412.doc On Socialistic Society 论 社 会 主 义 社 会 中 文 版 Chinese Version 金 宁 Ning JIN Copyright 2009 by Ning JIN ( 金 宁 ). All right reserved. No part of this book may be used or reproduced, stored in a retrieval system,

More information

自然辩证法索引

自然辩证法索引 自 然 与 科 学 技 术 哲 学 名 词 索 引 上 海 交 通 大 学 可 信 任 数 字 技 术 实 验 室 制 Copyright 2009 Trust Digital Technology Laboratory, Shanghai Jiao Tong University. Permission is hereby granted, free of charge, to any person

More information

Virtex-5 Family Overview

Virtex-5 Family Overview DS100 (v1.0) 2009 年 2 月 6 日 概述 Virtex -5 系列可提供 FPGA 市场中最新最强大的功能 Virtex-5 系列采用第二代高级芯片组合模块 (ASMBLTM) 列式架构, 包含 5 个截然不同的平台 ( 子系列 ), 是 FPGA 系列中选择最为丰富的系列 每个平台都拥有独特特性, 以满足诸多高级逻辑设计的需求 除最先进的高性能逻辑结构外,Vritex-5 FPGA

More information

流離所愛(完結篇)

流離所愛(完結篇) 作 者 Catabell 筆 名 琉 璃, 有 著 ㆒ 切 兒 矛 盾 的 特 質 : 任 性 好 奇 懶 惰 聰 穎 驕 恣 ; 感 情 細 膩 甚 略 嫌 豐 富 倔 強 但 易 受 傷 害 喜 歡 孤 獨 卻 害 怕 寂 寞 我 行 我 素 但 依 賴 溫 柔 卻 又 剛 強 於 香 港 文 大 學 主 修 英 文,2000 畢 業, 現 職 編 輯, 但 決 以 談 戀 愛 為 終 身 職

More information

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕

這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 這 是 醫 生 在 小 兒 的 初 步 診 斷 的 判 語 這 樣 的 一 段 話, 令 我 望 子 成 龍 的 美 夢 碎 了 醣 豆 豆 大 夢 想 十 一 年 前 的 資 訊 沒 有 今 天 的 發 達, 互 聯 網 還 是 一 個 很 奢 侈 的 東 西, 加 上 黏 多 醣 症 這 個 罕 有 的 遺 傳 病, 醫 生 對 於 它 的 認 識 也 不 太 深, 何 況 我 這 個 平 凡

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc

Microsoft Word - Xinhua Far East_Methodology_gb_2003.doc 新 华 远 东 中 国 资 信 评 级 新 华 财 经 有 限 公 司 上 海 远 东 资 信 评 估 有 限 公 司 新 华 远 东 中 国 资 信 评 级 2003 年 电 子 邮 箱 评 级 总 监 联 系 电 话 rating@xfn.com 钟 汶 权 CFA 852-3102 3612 8621-5306-1122 目 的 新 华 财 经 有 限 公 司 与 上 海 远 东 资 信 评

More information

Microsoft Word - SH090330.doc

Microsoft Word - SH090330.doc 2009 年 3 月 30 日 環 球 指 數 上 周 收 市 價 一 星 期 變 化 百 分 率 四 星 期 變 化 百 分 率 恆 生 指 數 14,119.50 +1285.99 +10.02% +1307.93 +10.21% 國 企 指 數 8,481.22 +985.26 +13.14% +1578.38 +22.87% 上 海 綜 合 指 數 2,374.44 +93.35 +4.09%

More information

2

2 2 3 1 2 3 9 bk 8 7 4 5 6 bn bm bl 1 2 3 4 5 6 7 8 9 p bk bl bm bn bo bo bp bq bq bp 1 2 8 . 1 2 3 4 5 6 bs 7 br 8 bq 9 bp bk bo bn bm bl 1 2 3 4 5 6 7 8 9 cm cl ck bt bk bl bm bn bo bp bq br bs bt

More information

* * 2

* * 2 * * 2 3 4 6 p 1234567 bl bm bn bo bp bq bk 9 8 cl ck bt bs br 1 0 2 3 4 5 6 7 8 9 bk bl bm bn bo bp bq br bs p bt ck 8 2 4 6 cl cm cn co co cn cm 10 . co cn cm cl ck bt bs 1 2 34567 8 9 bk bl bm bn

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放

2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 研 究 报 告 REITs 中 国 路 2014 年 2014 年 前 言 房 地 产 投 资 信 托 基 金 (Real Estate Investment Trusts,REITs) 在 海 外 早 已 发 展 成 熟, 而 香 港 政 府 去 年 也 进 一 步 准 备 放 宽 房 托 限 制, 相 比 之 下, 中 国 已 经 改 革 开 放 三 十 年, 对 房 托 发 展 至 今 还 未

More information

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese)

AI-AUTO-011 Saflex® Advanced PVB - Color Interlayer (Chinese) Saflex Saflex (PVB) / Saflex B Saflex PVB 96% Saflex PVB Saflex PVB Saflex Saflex PVB * RB47 367700 x x x x x RB47 377800 / x x x x x RB47 547800 x x x x x RB47 147800 x x x x x RB47 156100 x x x x RB47

More information

2

2 40 2 3 4 5 ^ ^ 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 PLEASE AFFIX STAMP HERE Diabetes Hongkong Unit 1802, 18/F., Tung Hip Commercial Bldg., 244-252 Des Voeux Rd C, HK. Diabetes Hongkong membership

More information

2 中 国 领 军 城 市 中 国 领 军 城 市 : 青 岛 仲 量 联 行 视 角 青 岛 位 于 山 东 半 岛 南 端, 俯 瞰 黄 海, 是 中 国 大 陆 最 重 要 的 贸 易 港 口 之 一 这 里 与 韩 国 和 日 本 隔 海 相 望, 长 期 受 惠 于 与 两 国 健 康 持

2 中 国 领 军 城 市 中 国 领 军 城 市 : 青 岛 仲 量 联 行 视 角 青 岛 位 于 山 东 半 岛 南 端, 俯 瞰 黄 海, 是 中 国 大 陆 最 重 要 的 贸 易 港 口 之 一 这 里 与 韩 国 和 日 本 隔 海 相 望, 长 期 受 惠 于 与 两 国 健 康 持 WORLD WINNING CITIES Global Foresight Series 2012 中 国 领 军 城 市 青 岛 城 市 白 皮 书 2 中 国 领 军 城 市 中 国 领 军 城 市 : 青 岛 仲 量 联 行 视 角 青 岛 位 于 山 东 半 岛 南 端, 俯 瞰 黄 海, 是 中 国 大 陆 最 重 要 的 贸 易 港 口 之 一 这 里 与 韩 国 和 日 本 隔 海 相

More information

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临

图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /( 美 ) 尼 德 胡 贝 尔 (Niederhuber,J.E.) 等 原 著 ; 孙 燕 译. -- 北 京 : 人 民 军 医 出 版 社, ISBN Ⅰ.1 临 Abeloff s Clinical Oncology 临 床 肿 瘤 学 ( 第 5 版 ) 原 著 者 John E. Niederhuber James O. Armitage James H. Doroshow Michael B. Kastan Joel E. Tepper 主 译 孙 燕 ( 下 卷 ) 图 书 在 版 编 目 (CIP) 数 据 临 床 肿 瘤 学 : 全 2 册 /(

More information

怪物來敲門

怪物來敲門 怪物來敲門 午夜降臨 怪物現身 一如往常 康納在怪物出現時醒了過來 他做過惡夢 嗯 可不是 隨便一個惡夢 而是 那個惡夢 他近來常做那個惡夢 夢裡漆黑陰暗 狂風大作 有驚心的尖叫 聲 還有一雙怎麼努力都握不住的雙手 夢境的盡頭總是 走開 康納對著房內的黑暗低語 他試著將惡夢推開 不願讓它尾隨自己來到夢醒的真實世界 現在就走開 他瞄一眼媽媽之前放在他床邊的鬧鐘 12 07 午夜又過了 七分 這時間對於平常上學日來說已經很晚了

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

MyCOS

MyCOS 河 北 工 程 大 学 应 届 毕 业 生 社 会 需 求 与 培 养 质 量 跟 踪 评 价 报 告 (15) 内 部 资 料 禁 止 外 传 麦 可 思 数 据 有 限 公 司 本 报 告 撰 写 者 : 麦 可 思 团 队 项 目 负 责 人 郑 伟 分 析 与 撰 写 王 梦 萍 王 丽 王 伦 陈 亚 审 稿 宋 思 文 杨 海 涛 校 对 王 锦 娜 张 扬 数 据 采 集 沈 柯 伶

More information

:5-6

:5-6 License Agreement for Bible Texts These Scriptures: May not be altered or modified in any form. They must remain in their original context. May not be sold or offered for sale in any form. May not be used

More information

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275])

(Microsoft PowerPoint - 2015A UPEC IR ppt \(cn\) \(NDR\)4.8 [\317\340\310\335\304\243\312\275]) 股 票 代 號 :1216 TT 2015 全 年 度 業 績 發 佈 (2016.4.11 更 新 ) Disclaimers The information contained in this presentation is intended solely for your personal reference. Such information is subject to change without

More information

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应

要改变我们的文化 仅说我们是维护生命运动倡导者是不够的 我们必须 解释为什么我们是维护生命运动的倡导者 这本书恰恰是极佳的资源 弗兰克 帕沃 为了生活 教会的教牧主席 全国维护生命宗教理事 会全国总监 一个深思熟虑且彻底的分析 为什么保护所有人的生命不仅是正确的 立场 而且也是我们作为一个社会唯一应 兰迪奥尔康对 维护生命 反对堕胎 立场的简洁而全面的介绍对无处不在 敞开心扉 却往往张口结舌 的维护生命运动倡导者来说 是一个非常 宝贵的资源 弗雷德 马太 格林 专栏作家 评论员 以及 真正的选择 倾听女性 寻找人工流产的替代方式 的作者 这本引人注目的书集粉碎了老一套的模式 这将有助于医治美国最紧迫的 内部冲突造成的创伤 但以理 拉品 走向传统 犹太人和基督徒的国家联盟 主席 作者和电台脱口秀主持人

More information

Course Agenda

Course Agenda 1 2011 赛灵思 ( Xilinx ) FPGA 最新课程技术研讨会 西安 1I/O Resources Xilinx 中国授权培训伙伴 - 依元素科技有限公司 www.e-elements.com 2 Course Objectives After completing this module, you will be able to: Describe the I/O features in

More information

Microsoft Word - A_Daily20160229

Microsoft Word - A_Daily20160229 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 2 月 29 日 星 期 一 (852)

More information

Microsoft Word - A_Daily20160329

Microsoft Word - A_Daily20160329 高 曉 慶, Stanley Kao 陳 漢 輝, Freddy Chan 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 每 日 資 訊 - Shenwan Hongyuan A-Share Daily Notes stanley.kao@swhyhk.com freddy.hf.chan@swhyhk.com 2016 年 3 月 29 日 星 期 二 (852)

More information

ATA2536T

ATA2536T ATA2536T 低 压 IR 接 收 器 ASSP DATASHEET 特 性 高 集 成 度 器 件 : 除 管 脚 二 极 管 外, 无 外 接 部 件 电 压 范 围 : 2.7V~5.5V 采 用 自 动 化 灵 敏 度 适 应 (AGC) 技 术 和 自 动 化 强 信 号 适 应 (ATC) 技 术, 具 有 极 高 的 灵 敏 度 采 用 电 源 电 压 自 适 应 技 术 强 大

More information

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 幼 儿 培 育 署 婴 幼 儿 培 育 框 架 凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 婴 幼 儿 培 育 框 架 婴 幼 儿 培 育 框 架 2013 Early Childhood Development Agency Republic of Singapore All rights reserved. No part of this book may be reproduced

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - A_Daily20151103

Microsoft Word - A_Daily20151103 陳 鳳 珠, Ellie Chan 高 曉 慶, Stanley Kao 申 萬 宏 源 研 究 ( 香 港 ) 有 限 公 司 申 萬 宏 源 A 股 日 評 - Shenwan Hongyuan A-Share Daily Notes ellie.chan@swhyhk.com stanley.kao@swhyhk.com 2015 年 11 月 3 日 星 期 二 (852) 2509-8431

More information

Microsoft Word - Sears Guidebook _Chinese_ _8.24.05_.doc

Microsoft Word - Sears Guidebook _Chinese_ _8.24.05_.doc 公 司 采 购 准 则 指 南 Sears Buying Policy Guidebook Version 2 1 2002 年 6 月 Sears 公 司 各 供 应 商 及 生 产 厂 家 : 2001 年 1 月,Sears 公 司 Roebuck 公 司 和 Sears 公 司 加 拿 大 公 司 修 订 了 Sears 公 司 采 购 准 则, 在 工 作 时 间 工 资 支 付 及 禁

More information

市 场 综 述 三 季 度, 上 海 投 资 市 场 交 易 量 持 续 攀 升, 共 有 八 宗 主 要 交 易 达 成, 交 易 金 额 共 计 人 民 币 160 亿 元, 环 比 增 长 59% 投 资 者 尤 其 是 国 际 投 资 者, 逐 渐 增 购 租 金 收 入 稳 定 的 核 心

市 场 综 述 三 季 度, 上 海 投 资 市 场 交 易 量 持 续 攀 升, 共 有 八 宗 主 要 交 易 达 成, 交 易 金 额 共 计 人 民 币 160 亿 元, 环 比 增 长 59% 投 资 者 尤 其 是 国 际 投 资 者, 逐 渐 增 购 租 金 收 入 稳 定 的 核 心 Savills World Research Shanghai 市场简报 投资 2015年10月 图片 企业天地1号 2号楼 概述 国际投资者调整投资策略 逐渐增加核心资产收购 不再局限于资产增值投资机会 三季度共达成八宗主要成交 交 易总额约人民币160亿元 环比增长 59% 国际投资者的重心逐渐转向核 心资产 十幅土地高价成交 成交楼面价均 超每平方米人民币20,000元 平均溢价 率为49.5%

More information

<4D F736F F D20332E E2D CFB5C1D0D6D0B8DFD0A B2BCBED6B5C44C564453D0C5BAC5B5B9CFE02E646F63>

<4D F736F F D20332E E2D CFB5C1D0D6D0B8DFD0A B2BCBED6B5C44C564453D0C5BAC5B5B9CFE02E646F63> Spartan-3 FPGA 系列中高效 PCB 布局的 LVDS 信号倒相 关键词 :PCB, Spartan-3,LVDS,FPGA 作者 :Nick Sawyer 等 摘要 : 本应用指南说明 Spartan- 3 FPGA 系列如何仅通过在接收器数据通路中加入一个倒 相器即可避免大量使用过孔, 并且在不要求 PCB 重新设计的情况下即可解决意外的 PCB 迹 线交换问题 提要 在比较简单的未大量使用过孔的四层或六层

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

MyCOS

MyCOS 华 南 农 业 大 学 社 会 需 求 与 培 养 质 量 年 度 报 告 (2013) 麦 可 思 数 据 有 限 公 司 本 报 告 撰 写 者 : 麦 可 思 团 队 项 目 负 责 人 杨 海 涛 分 析 与 撰 写 王 梦 萍 曹 晨 王 丽 王 伦 赵 可 朱 敏 石 艳 苹 审 稿 武 艳 丽 蒋 莹 校 对 王 锦 娜 张 扬 数 据 采 集 沈 柯 伶 赵 华 唐 秋 艳 Copyright

More information

Microsoft Word - Functional_Notes_3.90_CN.doc

Microsoft Word - Functional_Notes_3.90_CN.doc GeO-iPlatform Functional Notes GeO Excel Version 3.90 Release Date: December 2008 Copyrights 2007-2008. iplatform Corporation. All rights reserved. No part of this manual may be reproduced in any form

More information

封面及首頁.doc

封面及首頁.doc Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

Layout 1

Layout 1 Celebrating The First Decade 风 雨 十 年 铸 就 辉 煌 Brooklands new Media Premier Corporate Publishers 布 鲁 克 蓝 新 媒 体 公 司 出 版 并 与 新 华 通 讯 社 协 诚 合 作 A Brooklands New Media Publication In Association With Xinhua

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

The presentation is prepared by BH Global Corporation Limited. (the Company ) and is intended solely for your personal reference and is strictly confi

The presentation is prepared by BH Global Corporation Limited. (the Company ) and is intended solely for your personal reference and is strictly confi 2016 2017 3 14 The presentation is prepared by BH Global Corporation Limited. (the Company ) and is intended solely for your personal reference and is strictly confidential. The information contained in

More information

我 的 宗 族 渊 源 和 我 的 生 平 周 仲 羲 著

我 的 宗 族 渊 源 和 我 的 生 平 周 仲 羲 著 我 的 宗 族 渊 源 和 我 的 生 平 周 仲 羲 著 穆 罕 默 德 欧 思 曼 周 仲 羲 著 My Life and Ancestry (Chinese) By Mohammad Osman Chou Chung Sai First Published in UK in 2011 Present edition (UK) : 2014 Islam International Publications

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

216 年 8 月 市 场 概 述 216 年 月 日, 通 州 出 台 了 商 住 限 购 新 政, 规 定 新 建 商 业 办 公 项 目 应 当 按 照 规 划 用 途 销 售, 并 只 能 出 售 给 企 事 业 单 位 或 社 会 组 织, 且 上 述 单 位 购 买 后 再 出 售 时,

216 年 8 月 市 场 概 述 216 年 月 日, 通 州 出 台 了 商 住 限 购 新 政, 规 定 新 建 商 业 办 公 项 目 应 当 按 照 规 划 用 途 销 售, 并 只 能 出 售 给 企 事 业 单 位 或 社 会 组 织, 且 上 述 单 位 购 买 后 再 出 售 时, Savills World Research Beijing 市 场 简 报 销 售 及 投 资 216 年 8 月 概 述 总 体 来 看, 本 季 度 北 京 物 业 市 场 投 资 热 度 不 减 图 片 : 空 港 国 际, 顺 义 区 大 宗 整 售 市 场 本 季 度 见 证 两 宗 整 售 交 易, 成 交 总 金 额 达 48. 亿 元 人 民 币 截 至 目 前,216 年 大 宗

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

B _02_ch.indd

B _02_ch.indd KDC-X8016BT KDC-X8016BTL KDC-X7016 KDC-X7016L B64-4521-10/02 (MW) 2 KDC-X8016BT/ KDC-X8016BTL/ KDC-X7016/ KDC-X7016L 3 2 > > 1 2 3 4 5 6 AUX 7 8 9 10 11 12 13 14 1 2 3 4 5 6 7 8 9 4 10 38 11 12 13 14 4

More information

Chn 116 Neh.d.01.nis

Chn 116 Neh.d.01.nis 31 尼 希 米 书 尼 希 米 的 祷 告 以 下 是 哈 迦 利 亚 的 儿 子 尼 希 米 所 1 说 的 话 亚 达 薛 西 王 朝 二 十 年 基 斯 流 月 *, 我 住 在 京 城 书 珊 城 里 2 我 的 兄 弟 哈 拿 尼 和 其 他 一 些 人 从 犹 大 来 到 书 珊 城 我 向 他 们 打 听 那 些 劫 后 幸 存 的 犹 太 人 家 族 和 耶 路 撒 冷 的 情 形

More information

Applied Biosystems StepOne™ Real-Time PCR System User Bulletin: StepOne™ System Updates (PN A / SN 117UB20-01)

Applied Biosystems StepOne™ Real-Time PCR System User Bulletin: StepOne™ System Updates (PN A / SN 117UB20-01) Applied Biosystems StepOne Real-Time PCR System ЪßÕ ³Ê Applied Biosystems StepOne Real-Time PCR System StepOne 2007 3............................................................... 2...............................................................

More information

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年 Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

AD Y

AD Y FUJITSU Semiconductor FRAM FUJITSU SEMICONDUCTOR LIMITED , FRAM 1969, 47, FRAM Ferroelectric Random Access Memory 1995FRAM, 18, 45, 200 , FRAMIC,, RFID,,, FRAM,,,,,, Ferroelectric Random Access Memory

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

版本控制页 版本号发布日期更改章节更改说明备注 V

版本控制页 版本号发布日期更改章节更改说明备注 V Ver 1.0 千万门级宇航用 FPGA 产品手册 产品型号 :BQR5VSX95T 版本控制页 版本号发布日期更改章节更改说明备注 V1.0 2018.5 目录 1 产品特性... 1 2 产品概述... 3 3 BQR5V 系列模块描述... 3 3.1 输入 / 输出模块 (SelectIO)... 3 3.2 可配置逻辑块 (CLB)... 5 3.3 Block RAM... 5 3.4

More information

經濟部智慧財產局

經濟部智慧財產局 經 濟 部 智 慧 財 產 局 我 國 著 作 權 合 理 使 用 實 務 見 解 之 研 究 期 末 報 告 書 執 行 單 位 益 思 科 技 法 律 事 務 所 中 華 民 國 一 一 年 十 二 月 八 日 I 我 國 著 作 權 合 理 使 用 實 務 見 解 之 研 究 期 末 報 告 書 計 畫 主 持 人 賴 文 智 : 益 思 科 技 法 律 事 務 所 所 長 臺 灣 大 學 法

More information

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的 第 一 九 三 天 2015-08-19 一 敬 拜 诗 歌 给 我 清 洁 的 心 二 灵 修 读 经 传 道 书 第 5 章 三 旧 约 行 程 约 伯 记 第 38-40 章 四 新 约 行 程 歌 罗 西 书 第 1 章 五 每 日 灵 粮 第 1 页 一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要

More information

Microsoft Word - A1译者的话.doc

Microsoft Word - A1译者的话.doc BC 省 司 机 道 路 意 识 手 册 根 据 ICBC 2000 年 版 ROADSENSE FOR DRIVERS 编 译 尤 其 适 合 于 用 国 语 ( 普 通 话 ) 考 试 的 人 士 原 文 译 者 : 彭 铁 兵 (pengtiebing@yahoo.com) 修 订 排 版 : 张 文 (wenzhangok@gmail.com) 修 订 日 期 :2006/2/14 译 者

More information

% 6.% 9.6% % 7.% 1.8% % 68.7% 14.5% : 15.8% 57.9% 4.7%

% 6.% 9.6% % 7.% 1.8% % 68.7% 14.5% : 15.8% 57.9% 4.7% 21 6 21 6... 3... 3... 5... 5 1... 5 2... 5 3... 5 4... 6... 7... 7... 9 24.5% 6.% 9.6%... 9 17.% 7.% 1.8%... 11 39.2% 68.7% 14.5%... 14 : 15.8% 57.9% 4.7%... 17 : 39.9% 8.3% 13.5%... 19... 2... 22...

More information

TangBeilee_EBOOK-29MAY12

TangBeilee_EBOOK-29MAY12 成 功 企 业 的 销 售 和 营 销 秘 笈 唐 贝 利 2 唐 贝 利 2012 No part of this book may be reproduced in any form or by any electronic or mechanical means including information storage and retrieval systems, without permission

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線.

目 錄 使 用 者 介 面... 3 檔 案 頁 籤... 3 配 置... 4 狀 態 列... 4 功 能 區... 5 說 明... 5 文 件... 7 修 訂 雲 形... 7 標 註... 8 文 字... 9 幾 何 中 心 點 的 物 件 鎖 點... 10 等 角 製 圖 格 線. AutoCAD 2016 新 功 能 預 覽 指 南 編 譯 版 本 :Y150327, 內 容 僅 供 學 習 參 考, 所 有 訊 息 請 以 官 方 資 訊 為 準, 更 多 資 訊 請 下 載 原 文 PDF 檔 或 觀 賞 Youtube 頻 道 的 示 範 影 片 Design every detail with Autodesk AutoCAD software, one of the

More information

減災扶貧計劃

減災扶貧計劃 福 幼 基 金 會 2015 2016 年 度 項 目 工 作 簡 報 2015 年, 各 專 案 在 有 關 合 作 單 位 的 配 合 下 如 期 完 成 同 時, 第 七 屆 棟 樑 班 在 甘 肅 會 寧 縣 開 辦 ; 第 十 二 屆 雨 林 班 在 甘 肅 會 寧 縣 開 班 在 專 案 執 行 中, 我 們 繼 續 按 照 既 定 的 管 理 流 程, 加 強 專 案 各 環 節 的

More information

新婚夫妇必读(二).doc

新婚夫妇必读(二).doc ... 1...1...2...5...5...6...9...10...12...14...15...17...21...25...27...33...35...36...42 I II...45...47...49...52...54...56...63...70...73...74...77...81...82...86...88...95...97...99... 103... 108...111

More information

untitled

untitled NEW Register your product on line J 80 Ultra J 100 Ultra www.robot-coupe.com Register your product on line Head Office, French, Export and Marketing Department: 48, rue des Vignerons 94305 Vincennes Cedex-

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

6 4 6 5 5 2 2 3 1 2 3 1 6 6 6 6 5 5 5 2 2 4 126% * * GOLD COAST OFFICE. Cnr 2681 Gold Coast Highway and Elizabeth Avenue, Broadbeach Queensland 4218 PHONE 07 5531 8188 www.emandar.com.au Whilst every

More information

南華大學數位論文

南華大學數位論文 南 華 大 學 哲 學 與 生 命 教 育 學 系 碩 士 論 文 呂 氏 春 秋 音 樂 思 想 研 究 研 究 生 : 何 貞 宜 指 導 教 授 : 陳 章 錫 博 士 中 華 民 國 一 百 零 一 年 六 月 六 日 誌 謝 論 文 得 以 完 成, 最 重 要 的, 是 要 感 謝 我 的 指 導 教 授 陳 章 錫 博 士, 老 師 總 是 不 辭 辛 勞 仔 細 閱 讀 我 的 拙

More information

Microsoft Word - 3.3.1 - 一年級散文教案.doc

Microsoft Word - 3.3.1 - 一年級散文教案.doc 光 明 英 來 學 校 ( 中 國 文 學 之 旅 --- 散 文 小 說 教 學 ) 一 年 級 : 成 語 ( 主 題 : 勤 學 ) 節 數 : 六 教 節 ( 每 課 題 一 教 節 ) 課 題 : 守 株 待 兔 半 途 而 廢 愚 公 移 山 鐵 杵 磨 針 孟 母 三 遷 教 學 目 的 : 1. 透 過 活 動, 學 生 能 說 出 成 語 背 後 的 含 意 2. 學 生 能 指

More information

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等 1 12,403 2,892 264,553 19,517 238,008 10,132 989 36 9,869 2,218 250 122 ( 126 108 1,563 278 159 260 478 35,563 1,073 74 190,283 104,352 140,658 20,349 16,733 21,607 (21,607) 58,689 303,699 339,262 339,262

More information

untitled

untitled 1993 79 2010 9 80 180,000 (a) (b) 81 20031,230 2009 10,610 43 2003 2009 1,200 1,000 924 1,061 800 717 600 530 440 400 333 200 123 0 2003 2004 2005 2006 2007 2008 2009 500 2003 15,238 2009 31,4532003 2009

More information

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長 2013 年 1 月 23 日 的 立 法 會 會 議 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案 ( 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 ) 進 度 報 告 在 2013 年 1 月 23 日 的 立 法 會 會 議 上, 由 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案, 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 後 獲 得 通 過

More information

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 (a) (b) (c) (d) (e) 207 (f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 17.29 17.29 13.16A(1) 13.18 (a) (b) 13.16A (b) 12 (a) 209 13.19 (a) 13.16A 12 13.18(1) 13.18(4) 155 17.43(1) (4) (b) 13.19 17.43 17.29

More information

Microsoft Word - 發布版---規範_全文_.doc

Microsoft Word - 發布版---規範_全文_.doc 建 築 物 無 障 礙 設 施 設 計 規 範 內 政 部 97 年 4 年 10 日 台 內 營 字 第 0970802190 號 令 訂 定, 自 97 年 7 月 1 日 生 效 內 政 部 97 年 12 年 19 日 台 內 營 字 第 0970809360 號 令 修 正 內 政 部 101 年 11 年 16 日 台 內 營 字 第 1010810415 號 令 修 正 目 錄 第 一

More information

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 I 概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 生 和 专 业 结 构 改 进 人 才 培 养 模 式 及 时 回 应 社 会 关 切 的 一 项

More information

鱼类丰产养殖技术(二).doc

鱼类丰产养殖技术(二).doc ...1...1...4...15...18...19...24...26...31...35...39...48...57...60...62...66...68...72 I ...73...88...91...92... 100... 104... 144... 146... 146... 147... 148... 148... 148... 149... 149... 150... 151...

More information

疾病诊治实务(一)

疾病诊治实务(一) ...1...4...5...8...13...14...15...18...18...19...22...25...26...27...29...30...32...35 I ...38...42...43...45...48...51...53...56...59...60...60...61...63...65...67...69...72...74...77...80...82...84 II

More information

名人养生.doc

名人养生.doc I...1...3...4...6... 11...14...18...22...26...29...31...38...45...49...56...57...59...61...67 ...72...73...75...77...80...83...85...91...92...93...95...96...97... 103... 107... 109... 110... 112... 118...

More information

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63>

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63> 嘉 義 地 區 客 家 禮 俗 研 究 第 一 章 前 言 嘉 義 地 區 的 客 家 族 群 約 略 可 分 為 福 佬 客 詔 安 客 與 北 部 客 等 三 種 類 別, 其 分 佈 區 域 以 海 線 地 區 平 原 地 形 沿 山 地 區 為 主 有 相 當 多 的 北 部 客 家 人, 是 二 次 大 戰 末 期 和 戰 後 初 期 才 移 民 嘉 義, 是 什 麼 因 素 令 許 多

More information

05301930

05301930 國 立 中 正 大 學 法 學 系 碩 士 論 文 河 川 砂 石 法 規 範 之 探 討 - 以 採 取 土 石 及 挖 掘 河 川 認 定 基 準 為 主 指 導 教 授 : 盧 映 潔 博 士 研 究 生 : 王 瑞 德 中 華 民 國 一 百 零 一 年 五 月 目 錄 第 一 章 緒 論... 1 第 一 節 研 究 動 機... 1 第 二 節 研 究 目 的... 3 第 三 節 研

More information

中老年保健必读(十).doc

中老年保健必读(十).doc ...1...2...3...4...5...6...8...9... 11 - -...13...15...17...18...20...22...23...25...26...28 I II...30...32...34...35...38...40...42...44...46...47...48...50...52...53 X...55...56...57...58...60...61...63...65

More information

23 29 15.6% 23 29 26.2% 3 25 2 15 1 5 1,542 12,336 14,53 16,165 18,934 22,698 25,125 25 2 15 1 5 5,557 7,48 8,877 11, 13,732 17,283 22,485 23 24 25 26

23 29 15.6% 23 29 26.2% 3 25 2 15 1 5 1,542 12,336 14,53 16,165 18,934 22,698 25,125 25 2 15 1 5 5,557 7,48 8,877 11, 13,732 17,283 22,485 23 24 25 26 4, 197823 2916.3%29 335, 23 29.5% 23 29 16.3% 14 35 33,535 14 135 13 125 1,292 1,3 1,38 1,314 1,321 1,328 1,335 3 25 2 15 1 5 1. 1.1 13,582 15,988 1.4 18,322 11.6 11.9 21,192 24,953 3,67 9. 8.7 12 1 8

More information

海淀区、房山区(四)

海淀区、房山区(四) ...1...1...2...7...8...9... 11... 15... 17... 17... 18... 19... 20... 21... 23... 25... 28... 31... 32 I ... 35... 36... 37... 39... 42... 43... 48... 53... 54... 58... 63... 64... 65... 66... 68... 71...

More information

穨ecr1_c.PDF

穨ecr1_c.PDF i ii iii iv 1 2 3 4 5 5555522 6664422 77722 6 7 8 9 10 11 22266 12833 1894 12 13 14 15 16 17 18 19 20 21 22 23 24 25 8.14 2.15 2.18 26 27 28 29 30 31 2.16 2.18 5.23 32 33 34 35 36 37 38 39 40 41 42 43

More information

穨2005_-c.PDF

穨2005_-c.PDF 2005 10 1 1 1 2 2 3 5 4 6 2 7 3 11 4 1 13 2 13 3 14 4 14 5 15 6 16 7 16 8 17 9 18 10 18 2005 10 1 1. 1.1 2 1.2 / / 1.3 69(2) 70(2) 1.4 1.5 1.6 2005 10 1 2. 2.1 2.2 485 20(8) (a) (i) (ii) (iii) (iv) 571

More information

北京理工大学.doc

北京理工大学.doc ( )...1...6...8...10...20...22...24...28...30...32...40 I ...53...55...61 ( )...62...71...74 ( )...77...81...84...86...88...89...91...92...96...99... 110...111... 112 II ... 113... 114... 115... 116...

More information

尲㐵.⸮⸮⸮⸮⸮

尲㐵.⸮⸮⸮⸮⸮ I...1...2...3...4...5...6...8...9...10... 11...12...13...14...15...16...17...18...19...20...21...22...23...24...26 II...27...28...28...29...30...31...32...34...35...36...37...38...39...39...40...41...43...43...44...45...46...47...48...48...49...50

More information

东城区(下)

东城区(下) ...1...1...2...3...9...9... 12... 12... 17... 17... 18... 19... 20... 29... 31... 37... 41... 70... 73 I ... 74... 78... 78... 79... 80... 85... 86... 88... 90... 90... 90... 92... 93... 95... 95... 96...

More information

果树高产栽培技术(一).doc

果树高产栽培技术(一).doc ( ) ...1...1...3...10... 11...12...15...17...18...19...20...22...23...24...26...27...28...30...31...32 I ...36...38...40...41...42...44...45...47...48...49...50...51...52...53...55...58...59...60...61...62...66...67

More information

物质结构_二_.doc

物质结构_二_.doc I...1...3...6...8 --... 11 --...12 --...13 --...15 --...16 --...18 --...19 --...20 --...22 --...24 --...25 --...26 --...28 --...30 --...32 --...34 --...35 --...37 --...38...40 II...41...44...46...47...48...49...51...52...55...58

More information