ADAU1977 目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...3 技术规格...4 模拟性能规格...4 诊断和故障规格...5 数字输入 / 输出规格...6 电源规格...6 数字滤波器规格...7 时序规格...8 绝对最大额定值...10 热阻...10

Size: px
Start display at page:

Download "ADAU1977 目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...3 技术规格...4 模拟性能规格...4 诊断和故障规格...5 数字输入 / 输出规格...6 电源规格...6 数字滤波器规格...7 时序规格...8 绝对最大额定值...10 热阻...10"

Transcription

1 集成诊断功能的四通道 ADC ADAU1977 特性带诊断功能的可编程麦克风偏置 (5 V 至 9 V) 4 路 10 V rms 直接耦合差分输入利用片内 PLL 获得主时钟低电磁辐射设计 ADC 动态范围 :109 db THD + N: 95 db 可选数字高通滤波器 24 位 ADC,8 khz 至 192 khz 采样速率数字音量控制 I 2 C/SPI 控制软件可控无杂音静音功能软件关断右对齐 左对齐 I2S 对齐和 TDM 模式主机和从机工作模式 40 引脚 LFCSP 封装通过汽车应用认证应用汽车音频系统有源噪声消除系统 概述 ADAU1977 集成 4 个高性能模数转换器 (ADC), 其直接耦合输入具有 10 V rms 性能 该 ADC 采用 Σ-Δ 架构, 其连续时间前端能够实现低 EMI 性能 它可以直接连接驻极体麦克风 (ECM), 并为麦克风供电提供所需的偏置 内置的诊断电路可检测输入线路上的故障, 包括针对麦克风输入故障的全面诊断 可报告以下故障 : 电池短路 麦克风偏置短路 接地短路 正负输入引脚之间短路和输入引脚开路 此外, 每种诊断故障均能以 IRQ 标志表示, 以方便系统设计 同时, 它还内置 I 2 C/SPI 控制端口 ADAU1977 仅采用 3.3 V 单电源供电 器件内部可产生麦克风偏置电压 麦克风偏置能够通过 5 V 至 9 V 范围内的几种步长进行编程 低功耗架构则降低了器件的功耗 片内 PLL 可从外部时钟输入或帧时钟 ( 采样速率时钟 ) 获得主时钟 当使用帧时钟时, 由于 PLL 的存在, 系统中无需使用独立的高频主时钟 ADAU1977 采用 40 引脚 LFCSP 封装 功能框图 VBOOST_IN VBOOST_OUT SW VBAT AVDD1 AVDD3 AVDD2 MICBIAS MB_GND AIN1P AIN1N AIN2P AIN2N AIN3P AIN3N AIN4P AIN4N 5V TO 9V PROG BIAS BOOST CONVERTER I OUT 50mA ATTENUATOR 14dB PGND AVDD1 AVDD3 ADC ADC ADC ADC PROGRAMMABLE GAIN DECIMATOR/HPF DC CALIBRATION ADAU V TO 1.8V REGULATOR SERIAL AUDIO PORT DVDD IOVDD LRCLK BCLK SDATAOUT1 SDATAOUT2 DIAGNOSTICS VBAT AVDDx AGNDx BG REF AGND2 AGND1 AVDD2 AGND3 PLL AGND2 I2C/SPI CONTROL SCL/CCLK SDA/COUT ADDR1/CIN ADDR0/CLATCH FAULT PD/RST PGND AGND1 AGND2 AGND3 DGND VREF MCLKIN 图 1. Rev. C Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 9106, Norwood, MA , U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册 PLL_FILT SA_MODE

2 ADAU1977 目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...3 技术规格...4 模拟性能规格...4 诊断和故障规格...5 数字输入 / 输出规格...6 电源规格...6 数字滤波器规格...7 时序规格...8 绝对最大额定值...10 热阻...10 ESD 警告...10 引脚配置和功能描述...11 典型性能参数...13 工作原理...15 概述...15 电源和基准电压源...15 上电复位序列...15 PLL 和时钟...16 DC-DC 升压转换器...17 麦克风偏置...18 模拟输入...18 ADC...22 ADC 求和模式...22 诊断...23 串行音频数据输出端口 数据格式...25 控制端口...30 I 2 C 模式...31 SPI 模式...34 寄存器汇总...36 寄存器详解...37 主电源和软件复位寄存器...37 PLL 控制寄存器...38 DC-DC 升压转换器控制寄存器...39 MICBIAS 和升压控制寄存器...40 模块电源控制和串行端口控制寄存器...41 串行端口控制寄存器 串行端口控制寄存器 输出串行端口通道映射寄存器...44 输出串行端口通道映射寄存器...46 串行输出驱动和过温保护控制寄存器...48 后置 ADC 增益通道 1 控制寄存器...49 后置 ADC 增益通道 2 控制寄存器...50 后置 ADC 增益通道 3 控制寄存器...51 后置 ADC 增益通道 4 控制寄存器...52 高通滤波器和直流失调控制寄存器以及主静音...53 诊断控制寄存器...54 诊断报告寄存器通道 诊断报告寄存器通道 诊断报告寄存器通道 诊断报告寄存器通道 诊断中断引脚控制寄存器 诊断中断引脚控制寄存器 诊断调整寄存器 诊断调整寄存器 ADC 削波状态寄存器...63 数字直流高通滤波器和校准寄存器...64 应用电路...65 外形尺寸...66 订购指南...66 汽车应用产品...66 Rev. C Page 2 of 68

3 修订历史 2014 年 1 月 修订版 B 至修订版 C 更改特性部分... 1 更改表 1 中的动态范围 (A 加权 ) 参数... 4 更改图 更改图 更改图 年 9 月 修订版 A 至修订版 B 更改图 移动 修订历史 部分... 3 更改图 更改图 ADAU 年 3 月 修订版 0 至修订版 A CP-40-9 更改为 CP 通篇更改表 2 的 迟滞 AINxP 和 AINxN 短路相连 参数... 4 更改热阻部分和表 更改 SPI 模式 部分...32 更改 输出串行端口通道匹配寄存器 部分和表 更改图 更改 订购指南 部分 年 1 月 修订版 0: 初始版 Rev. C Page 3 of 68

4 ADAU1977 技术规格 所有通道的性能完全相同, 不包括通道间增益不匹配和通道间相位偏差规格 AVDDx/IOVDD = 3.3 V;DVDD ( 内部产生 ) = 1.8 V;VBAT = 14.4 V; 除非另有说明,T A = 40 C 至 +105 C; 主时钟 = MHz(48 khz f S 256 f S 模式 ); 输入采样速率 = 48 khz; 测量带宽 = 20 Hz 至 20 khz; 字宽 = 24 位 ; 负载电容 ( 数字输出 )= 20 pf; 负载电流 ( 数字输出 )= ±1 ma; 数字输入高电压 = 2.0 V; 数字输入低电压 = 0.8 V 模拟性能规格 表 1. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 线路输入应用 参见图 46 满量程差分输入电压 直流耦合,AINxP/AINxN 的 V CM = 7 V 10 V rms 满量程单端输入电压 直流耦合,AINxP/AINxN 的 V CM = 7 V 5 V rms 麦克风输入应用 参见图 46,MICBIAS = 8.5 V 差分输入电压 直流耦合,AINxP 的 V CM = 5.66 V,AINxN 的 V CM = 2.83 V 2 V rms 准直流输入单端输入电压 5 V 峰值 输入共模电压 AINxP/AINxN 引脚的 V CM 0 8 V dc 峰值输入电压 AINxP/AINxN 引脚的 V CM + V 交流峰值 0 14 V 麦克风偏置输出电压 可编程范围 5 V 至 9 V, 步进 0.5 V; 输出电压在额定负载调整范围内 Rev. C Page 4 of V 负载调整率 从空载到最大 25 ma 的负载 5 V % 从空载到最大 45 ma 的负载 9 V % 输出电流 At MICBIAS = 5 V 25 ma At MICBIAS = 9 V 45 ma 输出噪声 20 Hz 至 20 khz,micbias = 5 V µv rms 20 Hz 至 20 khz,micbias = 9 V µv rms 电源抑制比 (PSRR) 350 mv rms,10 V 时 VBOOST_IN 上有 1 khz 纹波 60 db MICBIAS 引脚处的通道间隔离 折合到满量程 1 khz 60 db 启动时间 C LOAD = 1 nf 40 ms 升压转换器输入电压 V 输入电流 L = 4.7 µh,f SW = MHz,MICBIAS = 9 V,45 ma 195 ma 负载 L = 2.2 µh,f SW = MHz,MICBIAS = 9 V,45 ma 220 ma 负载 输出电流 MICBIAS = 5 50 ma MICBIAS = 9 88 ma 负载调整率 从空载到最大 50 ma 的负载 MICBIAS = 5 V 1 +1 % 从空载到最大 88 ma 的负载 MICBIAS = 9 V 1 +1 % 输入过流阈值 900 ma 峰值 开关频率 fs = 48 khz L = 2.2 µh MHz fs = 48 khz, L = 4.7 µh MHz VBOOST_OUT 引脚的外部负载电容 µf 模数转换器输入电阻差分 AINxP 与 AINxN 之间 50 kω 单端 (Rin 1977 ) AINxP 与 AINxN 之间 25 kω ADC 分辨率 24 位 动态范围 (A 加权 ) 1 输入 = 1 khz, 60 dbfs 线路输入 折合到满量程差分输入 = 10 V rms db 麦克风输入 折合到满量程差分输入 = 2 V rms 95 db 总谐波失真加噪声 (THD + N) 输入 = 1 khz, 1 dbfs(0 dbfs = 10 V rms 输入 ) db

5 ADAU1977 参数 测试条件 / 注释 最小值 典型值 最大值 单位 数字增益后置 ADC 增益步长 = db db 增益误差 % 通道间增益不匹配 db 增益漂移 0.6 ppm/ C 共模抑制比 (CMRR) 1 V rms,1 khz 60 db 1 V rms,20 khz 56 db 电源抑制比 (PSRR) 100 mv rms,1 khz AVDDx = 3.3 V 70 db 通道间隔离 100 db 通道间相位偏差 0 度 基准电压内部基准电压 VREF 引脚 V 输出阻抗 20 kω ADC 串行端口输出采样速率 khz 1 f S 范围为 44.1 khz 至 192 khz 诊断和故障规格适用于 AINxP 和 AINxN 引脚使用 MICBIAS 的差分麦克风输入 表 2. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 1 故障检测的输入电压阈值迟滞 AINxP 或 AINxN 短接到 VBAT SHT_B_TRIP = VBAT 0.85 VBAT 0.86 VBAT V SHT_B_TRIP = VBAT 0.9 VBAT 0.91 VBAT V SHT_B_TRIP = VBAT 0.95 VBAT 0.96 VBAT V SHT_B_TRIP = VBAT VBAT 0.99 VBAT V 迟滞 AINxP 和 AINxN 短路相连 SHT_T_TRIP = 00 MICBIAS(0.5 ± 0.015) MICBIAS(0.5 ± MICBIAS(0.5 ± V 0.035) 0.047) SHT_T_TRIP = 01 MICBIAS(0.5 ± 0.001) MICBIAS(0.5 ± MICBIAS(0.5 ± V 0.017) 0.03) SHT_T_TRIP = 10 MICBIAS(0.5 ± 0.05) MICBIAS(0.5 ± MICBIAS(0.5 ± V 0.071) 0.08) 迟滞 AINxP 或 AINxN 短接到地 SHT_G_TRIP = VREF 0.1 VREF 0.13 VREF V SHT_G_TRIP = VREF VREF 0.16 VREF V SHT_G_TRIP = VREF 0.2 VREF 0.22 VREF V SHT_G_TRIP = VREF VREF 0.28 VREF V 迟滞 AINxP 短接到 MICBIAS SHT_M_TRIP = MICBIAS 0.85 MICBIAS 0.89 MICBIAS V SHT_M_TRIP = MICBIAS 0.9 MICBIAS 0.94 MICBIAS V SHT_M_TRIP = MICBIAS 0.95 MICBIAS 1.0 MICBIAS V SHT_M_TRIP = MICBIAS MICBIAS 1.0 MICBIAS V 2 迟滞 AINxP 或 AINxN 开路 上阈值和下阈值 参见 AINxP 短接 到 MICBIAS 和 AINxN 短接到地 规格 故障时间 可编程 ms 1 阈值限值利用 VREF = 1.5 V MICBIAS = 5 V 至 8.5 V VBAT = 11 V 至 18 V( 使用外部源设置 ) 进行测试 VBAT MICBIAS 时, 短接 VBAT 与短接 MICBIAS 无法区分, 报告短接 VBAT 故障优先于短接 MICBIAS 故障 2 AINxP 引脚开路故障与 AINxN 引脚开路故障无法区分, 因为当任一引脚开路时,AINxP 和 AINxN 引脚的电压分别仍然是 MICBIAS 和地 Rev. C Page 5 of 68

6 ADAU1977 数字输入 / 输出规格 表 3. 参数 测试条件 / 注释 最小值 最大值 单位 输入高电平输入电压 (V IH ) 0.7 IOVDD V 低电平输入电压 (V IL ) 0.3 IOVDD V 输入漏电流 ±10 µa 输入电容 5 pf 输出高电平输出电压 (V OH ) IOH = 1 ma IOVDD 0.60 V 低电平输出电压 (V OL ) IOL = 1 ma 0.4 V 电源规格除非另有说明,L = 4.7 µh,avddx = 3.3 V,DVDD = 1.8 V,IOVDD = 3.3 V,f S = 48 khz( 主模式 ) 表 4. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 DVDD 片内 LDO V AVDD V IOVDD V VBAT V IOVDD 电流 主时钟 = 256 f S 正常工作 fs = 48 khz 450 µa fs = 96 khz 880 µa fs = 192 khz 1.75 ma 关断 fs = 48 khz 至 192 khz 20 µa AVDDx 电流正常工作 升压器关闭,4 通道 ADC, 内部 DVDD 14 ma 升压器开启,4 通道 ADC, 内部 DVDD 14.5 ma 升压器关闭,4 通道 ADC, 外部 DVDD 9.6 ma 升压器开启,4 通道 ADC, 外部 DVDD 10.1 ma 关断 270 µa 升压转换器电流正常工作 升压器开启,4 通道 ADC,MICBIAS = 8.5 V, 34 ma 无负载 升压器开启,4 通道 ADC,MICBIAS = 8.5 V, 168 ma 42 ma 负载 关断 180 µa DVDD 电流正常工作 外部 DVDD = 1.8 V 4.5 ma 关断 65 µa DVDD 电流 VBAT = 14.4 V 正常工作 µa 关断 µa 功耗正常工作 主时钟 = 256 f S,48 khz AVDD 内部 DVDD,MICBIAS = 8.5 V,42 ma 负载 265 mw 所有电源关断 PD/RST 引脚保持低电平 9 mw 1 VBAT MICBIAS 时, 短接 VBAT 与短接 MICBIAS 无法区分, 报告短接 VBAT 故障优先于短接 MICBIAS 故障 Rev. C Page 6 of 68

7 ADAU1977 数字滤波器规格 表 5. 参数 模式 系数 最小值 典型值 最大值 单位 ADC 抽取滤波器 所有模式,f S = 48 khz 时的典型值 通带 fs 21 khz 通带纹波 ±0.015 db 过渡带 0.5 fs 24 khz 阻带 fs 27 khz 阻带衰减 79 db 群延迟 fs = 8 khz 至 96 khz /fS 479 µs fs = 192 khz 35 µs 高通滤波器 所有模式,48 khz 时的典型值 截止频率 3 db 点 Hz 相位偏差 20 Hz 时 10 度 建立时间 ADC 数字增益 全部模式 0 60 db 增益步长 db Rev. C Page 7 of 68

8 ADAU1977 数字滤波器规格 表 5. 限值 参数 最小值最大值 单位 描述 输入主时钟 (MCLK) 占空比 % MCLKIN 占空比 ;MCLKIN 为 256 f S 384 f S 512 f S 和 768 f S fmclk 见表 10 MHz MCLKIN 频率,PLL 在 MCLK 模式 复位复位脉冲 15 ns RST 低电平 PLL 锁定时间 10 ms I 2 C 端口 fscl 400 khz SCL 频率 tsclh 0.6 µs SCL 高电平 tscll 1.3 µs SCL 低电平 tscs 0.6 µs 建立时间 ; 与重复起始条件相关 tsch 0.6 µs 保持时间 ; 此时间结束后产生首个时钟脉冲 tds 100 ns 数据建立时间 tdh 0 数据保持时间 tscr 300 ns SCL 上升时间 tscf 300 ns SCL 下降时间 tsdr 300 ns SDA 上升时间 tsdf 300 ns SDA 下降时间 tbft 1.3 µs 总线空闲时间 ; 停止与起始之间的时间 tsusto 0.6 µs 停止条件的建立时间 SPI 端口 tccph 35 ns CCLK 高电平 tccpl 35 ns CCLK 低电平 fcclk 10 MHz CCLK 频率 tcds 10 ns CIN 建立至 CCLK 上升 tcdh 10 ns CCLK 上升至 CIN 保持 tcls 10 ns CLATCH 建立至 CCLK 上升 tclh 40 ns CCLK 上升至 CLATCH 保持 tclph 10 ns CLATCH 高电平 tcoe 30 ns CLATCH 下降至 COUT 使能 tcod 30 ns CCLK 下降至 COUT 延迟时间 tcots 30 ns CLATCH 上升至 COUT 三态 ADC 串行端口 tabh 10 ns BCLK 高电平, 从模式 tabl 10 ns BCLK 低电平, 从模式 tals 10 ns LRCLK 建立至 BCLK 上升, 从模式 talh 5 ns BCLK 上升至 LRCLK 保持, 从模式 tabdd 18 ns BCLK 下降至 SDATAOUTx 延迟时间 Rev. C Page 8 of 68

9 ADAU1977 t ALS LRCLK t ALH t ABH BCLK t ABL SDATAOUTx LEFT JUSTIFIED MODE t ABDD MSB MSB 1 SDATAOUTx I 2 S MODE t ABDD MSB SDATAOUTx RIGHT JUSTIFIED MODE t ABDD MSB LSB 8-BIT CLOCKS (24-BIT DATA) 12-BIT CLOCKS (20-BIT DATA) 14-BIT CLOCKS (18-BIT DATA) 16-BIT CLOCKS (16-BIT DATA) 图 2. 串行输出端口时序 t CLS t CLH t COE t CCPL t CLPH CLATCH t CCPH CCLK CIN t CDH t CDS t COTS COUT t COD 图 3. SPI 端口时序 t SCH t SDR t DS STOP START t SCH SDA t SCLH t SDF t BFT t SCR SCL t SCLL t DH t SCF t SCS t SUSTO 图 4. I 2 C 端口时序 Rev. C Page 9 of 68

10 ADAU1977 绝对最大额定值 表 7. 参数 额定值 模拟电源 (AVDDx) 0.3 V 至 V 数字电源 DVDD 0.3 V 至 V IOVDD 0.3 V 至 V 输入电流 ( 电源引脚除外 ) ±20 ma 模拟输入电压 (AINx VBAT 引脚 ) 0.3 V 至 +18 V 数字输入电压 ( 信号引脚 ) 0.3 V 至 V 工作温度范围 ( 环境 ) 40 C 至 +105 C 结温范围 40 C 至 +125 C 存储温度范围 65 C 至 +150 C 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 热阻 θ JA 表示结至环境热阻,θ JC 表示结至外壳热阻 所有特性均是利用标准 JEDEC 电路板根据 JESD51 标准进行测量 表 8. 热阻封装类型 θja θjc 单位 40 引脚 LFCSP C/W ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. C Page 10 of 68

11 DGND IOVDD S D A T AOUT 1 S D A T AOUT 2 LRCLK BCLK SDA/COUT SCL/CCLK A DDR0/CL A TC H ADDR1/CIN A VDD A IN 4 P 3 8 AIN4 N 3 7 A IN 3 P 3 6 AIN3 N 3 5 A IN 2 P 3 4 AIN2 N 3 3 A IN 1 P 3 2 AIN1 N 3 1 A VDD 3 ADAU1977 引脚配置和功能描述 AGND1 1 VREF 2 PLL_FILT AVDD2 3 4 AGND2 5 PD/RST 6 MCLKIN 7 FAULT 8 SA_MODE 9 DVDD 10 PIN 1 INDICATOR ADAU1977 TOP VIEW (Not to Scale) 30 VBAT 29 AGND3 28 MB_GND 27 MICBIAS 26 VBOOST_IN 25 VBOOST_OUT 24 SW 23 SW 22 PGND 21 PGND NOTES 1. THE EXPOSED PAD MUST BE CONNECTED TO THE GROUND PLANE ON THE PCB. 图 5. 引脚配置 (40 引脚 LFCSP) 表 9. 引脚功能描述 引脚编号 引脚名称 1 输入 / 输出 描述 1 AGND1 P 模拟地 2 VREF O 基准电压源 用 10 µf 100 nf 电容将此引脚去耦至 AGNDx 3 PLL_FILT O PLL 环路滤波器 用推荐的环路滤波器元件将此引脚接回 AVDDx 4 AVDD2 P 模拟电源 将此引脚连接到 3.3 V 模拟电源 5 AGND2 P 模拟地 6 PD/ RST I 关断复位 ( 低电平有效 ) 7 MCLKIN I 主时钟输入 8 FAULT O 故障输出 可编程逻辑输出 9 SA_MODE I 独立模式 对于独立模式, 用一个 10 kω 上拉电阻将此引脚连接到 IOVDD 10 DVDD O 1.8 V 数字电源输出 用一个 0.1 µf 电容将此引脚去耦至 DGND 11 DGND P 数字地 12 IOVDD P 数字输入和输出电源 将此引脚连接到 1.8 V 至 3.3 V 范围内的电源 13 SDATAOUT1 O ADC 串行数据输出对 1 14 SDATAOUT2 O ADC 串行数据输出对 2 15 LRCLK I/O ADC 串行端口的帧时钟 16 BCLK I/O ADC 串行端口的位时钟 17 SDA/COUT I/O 串行数据输出 I 2 C/ 控制数据输出 (SPI) 18 SCL/CCLK I 串行时钟输入 I 2 C/ 控制数据输入 (SPI) 19 ADDR0/CLATCH I 芯片地址位 0 设置 I 2 C/ 控制数据的片选输入 (SPI) 20 ADDR1/CIN I 芯片地址位 1 设置 I 2 C/ 控制数据输入 (SPI) 21 PGND P 电源地升压转换器 22 PGND P 电源地升压转换器 23 SW I 电感切换终端 24 SW I 电感切换终端 25 VBOOST_OUT O 升压转换器输出 用一个 10 µf 电容将此引脚去耦至 PGND 26 VBOOST_IN I MICBIAS 稳压器输入 将此引脚连接到 VBOOST_OUT( 引脚 25) 27 MICBIAS O 麦克风偏置输出 用一个 10 µf 电容将此引脚去耦至 AGNDx 28 MB_GND P 麦克风偏置稳压器的模拟回路地 为实现最佳噪声性能, 应将此引脚直接连到 AGNDx 29 AGND3 P 模拟地 30 VBAT I 用于诊断的电压检测 将此引脚连接到负载突降抑制电池电压 用一个 0.1 µf 电容将此引脚去耦至 AGNDx Rev. C Page 11 of 68

12 ADAU1977 引脚编号 引脚名称 1 输入 / 输出 31 AVDD3 P 32 AIN1N I 33 AIN1P I 34 AIN2N I 35 AIN2P I 36 AIN3N I 37 AIN3P I 38 AIN4N I 39 AIN4P I 40 AVDD1 P EP 描述模拟电源 将此引脚连接到 3.3 V 模拟电源 模拟输入通道 1 反相输入 模拟输入通道 1 同相输入 模拟输入通道 2 反相输入 模拟输入通道 2 同相输入 模拟输入通道 3 反相输入 模拟输入通道 3 同相输入 模拟输入通道 4 反相输入 模拟输入通道 4 同相输入 模拟电源 将此引脚连接到 3.3 V 模拟电源 裸露焊盘 裸露焊盘必须连接到印刷电路板 (PCB) 上的接地层 1 I = 输入,O = 输出,I/O = 输入 / 输出,P= 电源 Rev. C Page 12 of 68

13 典型性能参数 AMPLITUDE (dbfs) FREQUENCY (khz) 图 6. 快速傅里叶变换,2 mv 差分输入,f S = 48 khz CMRR (db) 图 9. CMRR 差分输入, 以 1 V 差分输入为基准 ADAU k 10k 20k FREQUENCY (Hz) AMPLITUDE (dbfs) FREQUENCY (khz) 图 7. 快速傅里叶变换, 1 dbfs 差分输入 AMPLITUDE (dbfs) FREQUENCY (khz) 图 10. 快速傅里叶变换, 无输入 THD + N (db) INPUT AMPLITUDE (V rms) 图 8. THD + N 与输入幅度的关系 MAGNITUDE (db) FREQUENCY (Hz) 图 11. f S = 48 khz 时的 ADC 通带纹波 Rev. C Page 13 of 68

14 ADAU MAGNITUDE (db) FREQUENCY (Hz) 图 12. f S = 48 khz 时的 ADC 滤波器阻带响应 Rev. C Page 14 of 68

15 ADAU1977 工作原理概述 ADAU1977 包含 4 个高性能 ADC, 并集成升压转换器用于麦 克风偏置 相关的麦克风故障检测诊断功能, 以及一个锁相环电路用于产生必要的片内时钟信号 电源和基准电压源 ADAU1977 采用 3.3 V 单电源供电 模拟和升压转换器各有电源输入引脚 这些引脚应通过 100 nf 陶瓷芯片电容去耦到 AGND, 并且电容应尽可能靠近引脚以最大程度地降低噪声拾取 在 ADC 所在的 PCB 上, 必须提供至少 10 μf 的铝电解体电容 为实现最佳性能, 模拟电源必须尽可能干净 数字内核的电源电压 (DVDD) 利用内部低压差调节器产生 典型 DVDD 输出为 1.8 V, 必须通过一个 100 nf 陶瓷电容和一个 10 μf 电容去耦 100 nf 陶瓷电容应尽可能靠近 DVDD 引脚放置 模拟模块的基准电压是在内部产生, 通过 VREF 引脚 ( 引脚 2) 输出 AVDDx 为 3.3 V 时, 该引脚的典型电压为 1.5 V 所有数字输入均兼容 TTL 和 CMOS 电平 所有输出均从 IOVDD 电源驱动 IOVDD 可以在 1.8 V 至 3.3 V 范围内 IOVDD 引脚必须通过一个尽可能靠近该引脚的 100 nf 电容去耦 为实现最佳性能, 建议将 AGND DGND PGND 和裸露焊盘连接到 PCB 上的单一 GND 层 ADC 内部基准电压从 VREF 引脚输出, 应通过并联的 100 nf 陶瓷电容和 10 μf 电容去耦 VREF 引脚的电流能力有限 该基准电压源用作 ADC 的基准源, 因此, 外部电路建议不要从该引脚吸取电流 使用该基准源时, 可利用一个同相放大缓冲器来为应用中的其他电路提供基准电压 在复位模式下,VREF 引脚禁用以节省功耗, 仅当 RST 引脚变为高电平时使能 上电复位序列 ADAU1977 要求在 AVDDx 引脚上从外部提供 3.3 V 单电源 器件内部产生 DVDD (1.8 V), 用于 ADC 的数字内核 DVDD 电源输出引脚 ( 引脚 10) 用于将去耦电容连接到 DGND 去耦电容的典型推荐值为 100 nf, 与 10 μf 并联 复位期间,DVDD 调节器禁用以降低功耗 PD/RST 引脚 ( 引脚 6) 变为高电平后, 器件使能 DVDD 调节器 然而, 内部 ADC 和数字内核复位由内部 POR 信号 ( 上电复位 ) 电路控制, 它会监控 DVDD 电平 因此, 只有 DVDD 达到 1.2 V 且 POR 信号释放后, 器件才会离开复位状态 DVDD 建立时间取决于外部电容的充电时间和 AVDDx 上升斜坡时间 内部 POR 电路具有迟滞, 确保 DVDD 上的短暂毛刺不会启动器件复位 典型跳变点为 1.2 V(RST 高电平 ) 和 0.6 V (±20%) (RST 低电平 ) 这可确保内核直到 DVDD 降至 0.6 V 跳变点以下才复位 一旦 PD/RST 引脚变为高电平, 内部调节器即开始为 DVDD 引脚上的 C EXT 电容充电 DVDD 充电时间取决于调节器的输出电阻和外部去耦电容 该时间常数可以通过下式计算 : t C = R OUT C EXT (R OUT = 20 Ω 典型值 ) 例如, 若 C EXT 为 10 μf, 则 t C 为 200 μs, 即达到 DVDD 电压 (63.6% 范围内 ) 的时间 当 DVDD 达到 1.2 V 时,POR 电路释放内核的内部复位信号 ( 见图 13) 因此, 发送 I 2 C 或 SPI 控制信号之前, 建议至少等待 t C 时间 AVDDx PD/RST t RESET t C DVDD (1.8V) 1.2V t D 0.48V POR 图 13. 上电复位时序当先拉低再拉高 PD/RST 引脚 ( 引脚 6) 以对器件执行硬件复位时, 存在若干时间限制 在 RST 低电平脉冲期间, DVDD 开始放电 放电时间常数由调节器的内部电阻和 C EXT 决定 DVDD 从 1.8 V 降至 0.48 V (0.6 V 20%) 所需的时间可通过下式估算 : t D = 1.32 R INT C EXT 其中,R INT = 64 kω 典型值 (R INT 可能随工艺而变化, 误差范围 ±20%) 例如, 若 C EXT 为 10 μf, 则 t D 为 秒 Rev. C Page 15 of 68

16 ADAU1977 根据 C EXT 不同,t D 可能不同, 进而决定 RST 脉冲的最短保持时间 在 t D 时间内,RST 脉冲必须保持低电平才能使内核正确初始化 在 C EXT 上增加一个电阻, 可减少所需的 RST 低电平脉冲时间 这样, 新的 t D 值可计算如下 : t D = 1.32 R EQ C EXT 其中,R EQ = 64 kω R EXT 该电阻不仅可确保 DVDD 在复位或 AVDDx 失电期间快速放电, 而且能使内部模块正确复位 注意, 该电阻持续从 DVDD 吸取电流, 因而必然有一定的功率损失 C EXT 典型值为 10 µf,r EXT 典型值为 3 kω 因此, 时间常数为 : t D = 1.32 R EQ C EXT = 37.8 ms 其中,R EQ = kω (64 kω 3 kω) 对设定的 C EXT 值使用此公式, 便可计算 R EXT 以获得所需的 RST 脉冲时间 还可以使用一个软件复位寄存器 (S_RST, 寄存器 0x00 的位 7) 来复位器件, 但必须注意, 在 AVDDx 失电期间, 软件复位可能无法确保正确初始化, 因为 DVDD 可能不稳定 +3.3V AVDD1 AVDD3 AVDD2 ADAU V TO 1.8V REGULATOR TO INTERNAL BLOCKS DVDD IOVDD C 0.1µF 图 14. DVDD 调节器输出连接 +1.8V OR +3.3V C EXT 10µF MLCC X7R C 0.1µF R EXT 3kΩ PLL 和时钟 ADAU1977 内置模拟 PLL 以便为内部 ADC 提供无抖动的主时钟 PLL 必须根据适当的输入时钟频率进行编程 PLL 控制寄存器 0x01 用于设置 PLL 寄存器 0x01 的 PLL_LOCK 位 ( 位 7) 指示 PLL 的锁定状态 建议在初始上电后读取 PLL 锁定状态, 确保 PLL 输出正确的频率后才取消音频输出静音 表 10. 常用采样频率所需的输入 MCLK MCS ( 位 [2:0]) fs (khz) 倍频系数 MCLKIN 频率 (MHz) fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs PLL 可接受音频帧时钟 ( 采样速率时钟 ) 作为输入, 但串行端口必须配置为从机, 帧时钟必须从主机提供给器件 强烈建议先禁用 PLL, 用新设置重新编程, 再重新使能 器件提供一个锁定位, 可通过 I 2 C 轮询, 检查 PLL 是否锁定 PLL 需要一个外部滤波器, 它连接在 PLL_FILT 引脚上 ( 引脚 3) MCLK 或 LRCLK 模式的建议 PLL 滤波电路如图 15 所示 为确保温度稳定性, 建议使用 NPO 电容 为实现最佳性能, 滤波器电容应靠近器件放置 寄存器 0x01 的 CLK_S 位 ( 位 4) 用于设置 PLL 的时钟源 时钟源可以是 MCLKIN 引脚或 LRCLK 引脚 ( 从模式 ) 在 LRCLK 模式下,PLL 支持 32 khz 到 192 khz 的采样速率 在 MCLK 输入模式下,MCS 位 ( 寄存器 0x01 的位 [2:0]) 必须设置为 MCLKIN 引脚需要的输入时钟频率 表 10 显示了大部分常用采样速率所需的输入 MCLK 和 MCS 位设置 AVDDx 39nF 4.87kΩ PLL_LF LRCLK MODE AVDDx 5.6nF 2.2nF 1kΩ PLL_LF MCLK MODE 15. PLL 390pF Rev. C Page 16 of 68

17 DC-DC 升压转换器升压转换器从 3.3 V 固定电源为麦克风偏置电路产生一个电源电压 升压转换器输出电压可利用寄存器 0x03 编程 升压转换器输出电压比设定的麦克风偏置电压高出大约 1 V 升压转换器使用来自 PLL 的时钟, 开关频率取决于 ADC 的采样速率 必须将 FS_RATE 位 ( 寄存器 0x02 的位 [6:5]) 设置为所需的采样速率 利用寄存器 0x02 的位 4, 可将升压转换器开关频率选择为 1.5 MHz 或 3 MHz 对于 1.5 MHz 开关频率, 推荐电感值为 4.7 µh; 对于 3 MHz 开关频率, 推荐电感值为 2.2 µh ADAU1977 表 11 依据采样速率列出了典型开关频率 电容选择升压转换器输出通过 VBOOST_OUT 引脚 ( 引脚 25) 提供, 必须利用 10 µf 陶瓷电容去耦到 PGND, 以消除开关频率处的纹波 该电容必须具有低 ESR 和良好的温度稳定性 建议使用 25 V 的 MLCC X7R/NPO 电介质类型电容 必须将此电容尽可能靠近 VBOOST_OUT 引脚 ( 引脚 25) 放置 表 11. 基于采样速率的典型开关频率 升压转换器开关频率 基本采样速率 (khz) 采样速率 (khz) 电感 = 2.2 µh 电感 = 4.7 µh 32 8/16/32/64 (1024/12) fs (1024/22) fs /22.05/44.1/88.2/176.4 (1024/16) fs (1024/30) fs 48 12/24/48/96/192 (1024/16) fs (1024/32) fs Rev. C Page 17 of 68

18 ADAU1977 麦克风偏置麦克风偏置是由输入电压通过线性调节器在 VBOOST_IN 引脚 ( 引脚 26) 上产生, 确保低噪声并抑制升压转换器的高频噪声 若使用内部升压转换器输出, 必须将 VBOOST_ OUT 引脚 ( 引脚 25) 连接到 VBOOST_IN 引脚 ( 引脚 26) 如果将外部电源用于麦克风偏置, 该电源可在 VBOOST_IN 引脚 ( 引脚 26) 上提供 这种情况下,VBOOST_OUT 引脚 ( 引脚 25) 应保持开路 利用 MB_VOLTS 位 ( 寄存器 0x03 的位 [7:4]), 可在 5 V 到 9 V 的范围内设置麦克风偏置电压 麦克风偏置输出电压通过 MICBIAS 引脚 ( 引脚 27) 提供 该引脚可利用 ESR 至少为 1 Ω 的最大 10 µf 电容去耦至 AGND 对于容值更大的电容, 尤其是 1 nf 以上的电容, 其 ESR 应 1 Ω, 以确保麦克风偏置调节器的稳定性 寄存器 0x03 可用于使能麦克风偏置 表 11 依据电感值和常用采样速率列出了升压转换器的开关频率 模拟输入 ADAU1977 具有 4 路差分模拟输入 ADC 支持交流耦合和直流耦合输入信号 典型输入电路框图如图 16 所示 多数音频应用中, 信号的直流成分通过耦合电容消除 然而,ADAU1977 采用独特的输入结构, 允许直接耦合输入信号, 无需在输入端使用大耦合电容 每路输入都有固定的 14 db 衰减器连接到 AGND, 支持 10 V rms 差分输入 从各路输入到 AGND 的典型输入电阻约为 26 kω 在直流耦合应用中, 如果 AINxP 和 AINxN 的 VCM 相同, 则 ADC 输出中的直流成分接近为 0 如果输入引脚具有不同的共模直流电平, 则这两个电平之差出现在 ADC 输出端, 可通过使能高通滤波器予以消除 在 48 khz 采样速率时, 高通滤波器具有 1.4 Hz 6 db/ 倍频程的截止频率 该截止频率与采样速率呈比例变化 然而, 直流耦合应用必须确保共模直流电压不超过额定限值 共模环路可支持 0 V 到 7 V 的共模直流电压 满量程 ADC 输出 (0 dbfs) 所需的输入通常为 10 V rms 差分 R AINxP 2R V X R R V REF AINxN 2R V Y R R R V ID = V INPUT DIFFERENTIAL V ICM+ = V CM AT AINx+ V ICM = V CM AT AINx 图 16. 模拟输入模块 Rev. C Page 18 of 68

19 ADAU1977 线路输入本部分说明 ADAU1977 支持线路电平输入的一些可能连接方法 线路输入平衡或差分输入直流耦合案例例如, 对于车用典型功率放大器, 输出摆幅可达约 10 V rms 差分, 共模直流输入电压约为 7.2 V( 假设使用 14.4 V 电池和桥接负载连接 ) 各输入引脚的信号具有 5 V rms 或 V p-p 信号摆幅 对于 7.2 V 共模直流电压, 各输入的信号可在 (7.2 V V) = V p-p 和 (7 V 7.07 V) = 0.13 V 之间摆动 因此, 差分信号摆幅约为 V p-p,adc 输出端测量值约为 0.16 dbfs( 仅交流, 采用直流高通滤波器 ) 参见图 17 线路输入平衡或差分输入交流耦合案例对于交流耦合的放大器输出案例, 有关将线路电平输入连接到 ADAU1977 的信息, 请参见图 18 这种情况下, 必须利用 MICBIAS 上的电阻将 AINxP/AINxN 引脚上拉到所需的共模电平 VCM 必须适当, 使得输入绝不会摆动到地以下 换言之, 若输入信号为 14 V p-p, 则 VCM 必须为 14 V/2 = 7 V 左右, 以确保信号绝不会摆动到地以下 麦克风偏置可提供所需的干净基准源以产生 VCM R1 值可计算如下 : R1 = Rin 1977 (MB V CM )/V CM 线路输入不平衡或单端伪差分交流耦合案例对于单端应用, 信号摆幅减半, 因为仅有一个输入用于信号, 另一个输入连接到 0 V 这样一来, 在单端应用中, 输入信号能力降低至 5 V rms 对于 7.2 V 共模直流电压, 信号可在 (7.2 V V) = V p-p 和 (7.2 V 7 V) = 0.13 V 之间摆动 因此, 差分信号摆幅约为 V p-p,adc 输出端测量值约为 6.16 dbfs( 仅交流, 采用直流高通滤波器 ) 参见图 19 电阻 (R1/R2) 和电容 (C1/C2) 的值与 线路输入平衡或差分输入交流耦合案例 部分所述的平衡交流耦合案例相似 线路输入不平衡或单端交流耦合案例对于单端应用, 信号摆幅减半, 因为仅有一个输入用于信号, 另一个输入连接到 0 V 这样一来, 在单端应用中, 输入信号能力降低至 5 V rms 对于 7.2 V 共模直流电压, 信号可在 (7.2 V V) = V p-p 和 (7.2 V 7 V) = 0.13 V 之间摆动 因此, 差分信号摆幅约为 V p-p,adc 输出端测量值约为 6.16 dbfs( 仅交流, 采用直流高通滤波器 ) 如果信号不经高通滤波, 正负输入 (7.2 V) 的共模直流电压差将出现在 ADC 输出端 参见图 20 电阻 (R1) 和电容 (C1) 的值与 线路输入平衡或差分输入交流耦合案例 部分所述的平衡交流耦合案例相似 其中 : V CM 为峰峰值输入摆幅除以 2 MB = 8.5 V Rin 1977 为单端输入电阻 ( 见表 1) 但在这种情况下,AINxP/AINxN 的等效输入电阻降低, 可计算为 R1 Rin 1977 输入电阻 = R1 Rin1977/(R1 + Rin1977) 其中,Rin 1977 为来自表 1 的单端值 C1 和 C2 值可利用以下公式根据所需的低频截止确定 : C1 或 C2 = 1/(2 π fc 输入电阻 ) Rev. C Page 19 of 68

20 ADAU1977 TYPICAL AUDIO POWER AMPLIFIER OUTPUT AINx+ AINx ATTENUATOR 14dB V DIFF = 10V rms AC V CM = 7V DC ADAU 图 17. 连接线路电平输入 差分直流耦合案例 TYPICAL AUDIO POWER AMPLIFIER OUTPUT R1 R2 C3 MICBIAS C1 AINx+ C2 V DIF f = 10V RMS AC AINx ATTENUATOR 14dB ADAU 图 18. 连接线路电平输入 差分交流耦合案例 TYPICAL AUDIO POWER AMPLIFIER OUTPUT R1 R2 C3 MICBIAS C1 AINx+ V IN = 5V rms AC C2 AINx ATTENUATOR 14dB ADAU 图 19. 连接线路电平输入 伪差分交流耦合案例 TYPICAL AUDIO POWER AMPLIFIER OUTPUT R1 C3 MICBIAS C1 AINx+ AINx ATTENUATOR 14dB V IN = 5V rms AC ADAU 图 20. 连接线路电平输入 单端交流耦合案例 Rev. C Page 20 of 68

21 ADAU1977 麦克风输入本部分说明 ADAU1977 支持麦克风输入应用的一些连接方法 MICBIAS 电压和偏置电阻值取决于所选的 ECM ADAU1977 可提供 5 V 到 9 V( 步进为 0.5 V) 的 MICBIAS 在需要多个麦克风的应用中, 必须注意不得超过 MICBIAS 输出电流额定值 ECM 平衡或差分输入直流耦合案例例如, 在典型的 ECM 中, 输出信号摆幅取决于 MICBIAS 电压 使用典型的 8.5 V 电源,ECM 可输出 2 V rms 差分信号 各输入引脚的信号具有 1 V rms 或 2.8 V p-p 信号摆幅 AINxP 引脚上的共模直流电平为 2/3 x MICBIAS,AINxN 引脚上的共模直流电平为 1/3 x MICBIAS, 这导致 ADC 输出端约为 14 dbfs( 仅交流, 采用直流高通滤波 ), 因为该输入比 10 V rms 差分的满量程输入低 14 db 参见图 21 ECM 伪差分输入交流耦合案例对于典型的 MEMS ECM 模块, 输出信号摆幅很低 使用典型的 V 电源,ECM 模块可输出 2 V rms 差分信号 输入引脚的信号具有 1 V rms 或 2.8 V p-p 信号摆幅 对于这种应用, 建议像 线路输入不平衡或单端伪差分交流耦合案例 部分所述的那样, 利用电阻将输入引脚偏置到 7 V DC 参见图 22 TYPICAL ECM MODULE R MICBIAS MICROPHONE AINx+ AINx ATTENUATOR 14dB V IN = 2V rms AC DIFFERENTIAL V CM+ 2/3 MICBIAS V CM 1/3 MICBIAS R = TYPICAL 300Ω TO 500Ω NOTES 1. THE DIAGNOSTICS FEATURE IS AVAILABLE. R 图 21. 连接麦克风输入 差分输入直流耦合案例 ADAU TYPICAL ECM WITH PREAMP MODULE V DD R1 R2 C3 MICBIAS AINx+ AINx ATTENUATOR 14dB ADAU1977 V MAX = 5V rms AC NOTES 1. THE DIAGNOSTICS FEATURE IS NOT AVAILABLE. 图 22. 连接麦克风输入 伪差分输入交流耦合案例 Rev. C Page 21 of 68

22 ADAU1977 ADC ADAU1977 的 4 个 Δ-Σ ADC 通道配置为两个立体声对, 具有可配置的差分 / 单端输入 ADC 以 32 khz 到 192 khz 的标称采样速率工作 ADC 包括片上数字抗混叠滤波器, 其具有 79 db 阻带衰减和线性相位响应 数字输出通过两个串行数据输出引脚 ( 每个立体声对一个 ) 一个通用帧时钟 (LRCLK) 和一个位时钟 (BCLK) 提供 或者, 也可以使用 TDM 模式之一, 单条 TDM 数据线最多支持 16 个通道 使用幅度较小的输入信号时, 对各通道可提供 10 位可编程数字增益补偿, 以将输出字放大到满量程 必须注意避免过度补偿 ( 大增益补偿 ), 否则会导致 ADC 削波和 THD 性能降低 ADC 还有直流失调校准算法, 可消除 ADC 的系统性直流失调 此特性对直流测量应用有利 电感选择为使升压转换器高效率工作, 电感选择很重要 电感有两个最重要的参数, 分别是饱和电流额定值和直流电阻 推荐的电感饱和额定值必须大于 1 A 直流电阻影响升压转换器的效率 假设在 80% 效率时, 电路板走线电阻可忽略不计, 则电感的直流电阻应小于 50 mω 表 12 列出了部分推荐电感 表 12. 推荐电感 1 数值 2.2 µh 4.7 µh 制造厂商 Würth Elektronik Würth Elektronik 制造商产品型号 对于给定应用, 适当的温度额定值信息请联系制造商 升压转换器具有软启动特性, 可防止来自输入源的浪涌电流 升压转换器内置过流和过温保护功能 升压转换器监控输入电流, 如果它超过设定的电流阈值并持续 1.2 ms, 升压转换器就会关断 该故障状况记录在寄存器 0x02 中, 而且会置位故障中断引脚 读取寄存器 0x02 的 BOOST_OV 位 ( 位 2) 或 BOOST_OC 位 ( 位 0) 之后, 该状况即被清除 过流保护位 OC_EN( 位 1) 或过压保护位 OV_EN( 位 3) 默认使能, 建议不要禁用 发生故障事件后, 每个保护电路都有两种恢复模式 : 自动恢复和手动恢复 恢复模式可利用寄存器 0x03 的位 0 选择 自动恢复模式尝试在设定的恢复时间 ( 通常 20 ms) 后使能升压转换器 手动恢复模式仅在用户将 1 写入 MRCV 位 ( 位 1) 时使能升压转换器 如果故障持续存在, 升压转换器将一直处于关断模式, 直到故障消除 升压转换器能够在 MICBIAS 输出端提供 42 ma 的总输出电流 升压转换器的输入端具有过流保护功能, 阈值约为 900 ma 峰值 确保为升压转换器供电的 3.3 V 电源内置过流保护功能, 因为 ADAU1977 没有内置保护功能来应对 VBOOST_ OUT 或 VBOOST_IN 引脚短接任一接地引脚 (AGND/ DGND/PGND) 的情况 默认情况下, 升压转换器在上电时禁用, 以便用户可灵活地将一个外部电压源连接到 VBOOST_IN 引脚来为麦克风偏置电路供电 升压转换器可利用 BOOST_EN 位 ( 寄存器 0x03 的位 2) 使能 ADC 求和模式四个 ADC 可分组为单个立体声 ADC 或单个单声道 ADC, 以便提高应用的信噪比 (SNR) 提供两种选项: 一是将 ADC 的两个通道求和, 一是 ADC 的所有四个通道求和 求和在数字模块中执行 2 通道求和模式 SUM_MODE 位 ( 寄存器 0x0E 的位 [7:6]) 设为 01 时, 通道 1 和通道 2 ADC 数据合并, 从 SDATAOUT1 引脚输出 类似地, 通道 3 和通道 4 ADC 数据合并, 从 SDATAOUT2 引脚输出 这样一来,SNR 提高 3 db 这种模式下, 通道 1 和通道 2 必须连接到相同的输入信号源 类似地, 通道 3 和通道 4 也必须连接到相同的输入信号源 4 通道求和模式 SUM_MODE 位 ( 寄存器 0x0E 的位 [7:6]) 设为 10 时, 通道 1 至通道 4 ADC 数据合并, 从 SDATAOUT1 引脚输出 这样一来, SNR 提高 6 db 这种模式下, 所有四个通道必须连接到相同的输入信号源 Rev. C Page 22 of 68

23 诊断诊断模块实时监控输入引脚, 将故障报告为 FAULT 引脚 ( 引脚 8) 上的中断信号, 触发一个中断请求发送到外部控制器 通道 1 至通道 4 的诊断状态寄存器 ( 寄存器 0x11 至寄存器 0x14) 也会更新 有关诊断寄存器内容的更多信息, 参见寄存器映射表 ( 表 25) 和寄存器详情表 ( 表 42 表 43 表 44 和表 45) 使用寄存器 0x10 的位 [3:0] 可使能或禁用各通道的诊断功能 要提供诊断,MICBIAS 必须使能, 并且麦克风必须按照相应应用电路的推荐方式连接 ( 见图 21) 诊断报告各通道的诊断状态分别在寄存器 0x11 至寄存器 0x14 中报告 表 13 所列的故障在各输入引脚上报告 表 13. 故障报告故障 AINxP AINxN 电池短路 是 是 MICBIAS 短路 是 否 短路至地 是 是 正负输入短路 是 是 开路输入 是 是 诊断调整电池短路输入端短接电池时, 输入端的电压接近电池电压 任何高于设定阈值的电压都会被报告为故障 阈值可利用 SHT_ B_TRIP 位 ( 寄存器 0x17 的位 [1:0]) 设置 ( 见表 14) 表 14. 设置电池短路阈值 SHT_B_TRIP ( 寄存器 0x17 的位 [1:0]) 电池短路阈值 VBAT VBAT VBAT VBAT MICBIAS 短路仅 AINxP 引脚支持此特性 当 AINxP 引脚短接 MICBIAS 时, AINxP a 引脚的电压接近 MICBIAS 电压 任何高于设定阈值的电压都会被报告为故障 阈值可利用 SHT_M_TRIP 位 ( 寄存器 0x17 的位 [5:4]) 设置 ( 见表 15) 表 15. 设置 MICBIAS 短路阈值 SHT_M_TRIP ( 寄存器 0x17 的位 [5:4]) MICBIAS 短路阈值 MICBIAS MICBIAS MICBIAS MICBIAS 表 16. SHT_G_TRIP ( 寄存器 0x17 的位 [3:2]) 短路至地阈值 VREF VREF VREF VREF ADAU1977 短路至地输入端短路至地时, 输入端的电压接近 0 V 任何低于设定阈值的电压都会被报告为故障 阈值以 VREF 为基准, 因而与 VREF 引脚的电压成比例 阈值可利用 SHT_G_TRIP 位 ( 寄存器 0x17 的位 [3:2]) 设置 ( 见表 16) 麦克风引脚短路两个输入端均短路时,AINxP 和 AINxN 输入端处于相同电压, 约为 MICBIAS/2 任何位于设定阈值之间的电压都会被报告为故障 上阈值和下阈值可利用 SHT_T_TRIP 位 ( 寄存器 0x17 的位 [7:6]) 设置 ( 见表 17) 可以使用下面的公式来计算上下阈值 : 上阈值 = MICBIAS(0.5 + x) 下阈值 = MICBIAS(0.5 x) 其中,x 可利用 SHT_T_TRIP 位 ( 寄存器 0x17 的位 [7:6]) 设置 ( 见表 17) 表 17. SHT_T_TRIP ( 寄存器 0x17 的位 [7:6]) x 保留 Rev. C Page 23 of 68

24 ADAU1977 麦克风引脚开路若有任何输入端开路,AINxP 将被拉到 MICBIAS,AINxN 被拉到公共地 当 AINxP 引脚的电压高于 MICBIAS 短路阈值 ( 利用寄存器 0x17 的位 [5:4] 设置 ),AINxN 引脚的电压低于短路至地阈值 ( 利用寄存器 0x17 的位 [3:2] 设置 ) 时, 就会报告故障 该故障无法指示哪个引脚开路, 因为任何开路引脚都可将 AINxP 拉至 MICBIAS, 将 AINxN 拉至公共地 FAULT 引脚 FAULT 引脚是一个输出引脚, 可利用 IRQ_POL 位 ( 寄存器 0x15 的位 4) 将其编程为高电平有效或低电平有效 此外, 利用 IRQ_DRIVE 位 ( 寄存器 0x15 的位 5) 可将 FAULT 引脚设置为始终驱动, 或者设置为仅在故障期间驱动, 其他时候处于高阻态 故障状态记录在 IRQ_RESET 位 ( 寄存器 0x15 的位 6) 中 IRQ_RESET 是一个锁存位, 发生故障时置 1, 读取故障状态位后清 0 故障超时为防止误触发故障事件, 提供了故障超时调整位 ( 寄存器 0x18 的位 [5:4]) 这些位可用来设置报告故障前故障需要持续存在的时间 利用 FAULT_TO 位 ( 寄存器 0x18 的位 [5:4]), 可将超时时间设为 0 ms 50 ms 100 ms 或 150 ms 默认值 为 100 ms 仅当故障状况持续存在的时间超过设定的最短超 时时间时, 才会记录故障 故障屏蔽 可以屏蔽故障, 防止其触发 FAULT 引脚上的中断 故障屏 蔽可利用寄存器 0x16 的位 [6:0] 设置 表 18 所列的故障可设 置屏蔽 表 18. 故障屏蔽故障 AINxP AINxN 电池短路 是 是 MICBIAS 短路 是 否 短路至地 是 是 正负输入短路 是 是 开路输入 是 是 当一个故障屏蔽位置 1 时, 它适用于所有通道 对于使用此位的各通道, 并无独立故障屏蔽可用 要屏蔽个别通道, 请使用 DIAG_MASK[4:1] 位 ( 寄存器 0x15 的位 [3:0]) 诊断序列读取诊断功能报告的故障时, 建议使用图 23 所示的序列 AINx+/ AINx NORMAL FAULT EVENT NORMAL FAULT PIN FAULT TIMEOUT IRQ TO SYSTEM MICRO FAULT TIMEOUT IRQ TO SYSTEM MICRO FAULT TIMEOUT IRQ TO SYSTEM MICRO FAULT TIMEOUT IRQ TO SYSTEM MICRO FAULT TIMEOUT IRQ TO SYSTEM MICRO I 2 C I 2 C SEQUENCE I 2 C SEQUENCE I 2 C SEQUENCE I 2 C SEQUENCE I 2 C SEQUENCE 图 23. 诊断序列 Rev. C Page 24 of 68

25 ADAU1977 输入引脚发生故障时, 根据寄存器 0x15 中 IRQ_POL 位的设置,FAULT 引脚变为低电平或高电平, 以向系统微控制器发送中断请求 系统微控制器响应中断请求, 通过 I 2 C 与 ADAU1977 通信 下面是典型的中断服务程序 : 1. ADAU1977 产生一个中断请求并发送到系统微控制器 2. 读取寄存器 0x11 至寄存器 0x14 ( 建议在一个序列中读取所有四个诊断状态寄存器 寄存器 0x11 至寄存器 0x14 以单一读操作读取这些寄存器可能无法准确报告状态 ) 3. 写入寄存器 0x15 的位 6(IRQ_RESET 位 ) 4. 等待故障超时时间到期 5. 如果故障是暂时的, 并非持续存在, 中断服务即结束 ; 间歇性故障会被忽略 如果故障持续存在,ADAU1977 将产生另一个中断请求, 用户应继续到第 6 步 6. 重复步骤 2 至步骤 4 四次 7. 第五次读取后, 如果诊断功能仍然报告存在故障, 则相应的输入上存在故障, 必须予以处理 串行音频数据输出端口 数据格式串行音频端口包括 4 个引脚 :BCLK LRCLK SDATAOUT1 和 SDATAOUT2 ADAU1977 ADC 输出以串行格式在 SDATAOUT1 和 SDATAOUT2 引脚上提供 BCLK 和 LRCLK 引脚分别用作位时钟和帧时钟 该端口可以用作主机或从机, 并且可以设置为立体声模式 (2 通道模式 ) 或 TDM 多通道模式 支持常见音频格式 :I 2 S 左对齐(LJ) 和右对齐 (RJ) 立体声模式在 2 通道或立体声模式下,SDATAOUT1 输出通道 1 和通道 2 的 ADC 数据,SDATOUT2 输出通道 3 和通道 4 的 ADC 数据 图 24 至图 28 显示了支持的音频格式 BCLK LRCLK SDATAOUT1 (I 2 S MODE) SDATAOUT2 (I 2 S MODE) CHANNEL 1 CHANNEL 2 8 TO 32 BCLKs 8 TO 32 BCLKs CHANNEL 3 CHANNEL 4 NOTES 1. SAI = SDATA_FMT = 0 (I 2 S) 图 24. I 2 S 音频格式 BCLK LRCLK SDATAOUT1 (LJ MODE) CHANNEL 1 CHANNEL 2 SDATAOUT2 (LJ MODE) NOTES 1. SDATA_FMT = 1 (LJ). CHANNEL 3 CHANNEL 4 图 25. LJ 音频格式 BCLK LRCLK SDATAOUT1 (RJ MODE) CHANNEL 1 CHANNEL 2 SDATAOUT2 (RJ MODE) NOTES 1. SDATA_FMT = 2 (RJ, 24-BIT). CHANNEL 3 CHANNEL 4 图 26. RJ 音频格式 Rev. C Page 25 of 68

26 ADAU1977 TDM 模式寄存器 0x05 至寄存器 0x08 提供 TDM 模式编程功能 TDM 时隙宽度 数据宽度 通道分配和用于输出数据的引脚均可编程 默认情况下, 串行数据在 SDATAOUT1 引脚上输出, 但可利用 SDATA_SEL 位 ( 寄存器 0x06 的位 7) 改变设置, 使串行数据从 SDATAOUT2 引脚输出 TDM 模式支持 或 16 个通道 ADAU1977 在分配的时隙中输出 4 通道数据 ( 图 29 显示了数据时隙分配 ) 在未使用 的时隙中, 输出引脚变为高阻态, 因而同一数据线可与 TDM 总线上的其他器件共享 TDM 端口可以作为主机或从机工作 在主模式下,BCLK 和 LRCLK 从 ADAU1977 输出, 而在从模式下,BCLK 和 LRCLK 引脚设置为接收系统主机提供的时钟 支持非脉冲和脉冲模式 在非脉冲模式下,LRCLK 信号占空比通常为 50%, 而在脉冲模式下,LRCLK 信号至少必须为一个 BCLK 宽 ( 参见图 27 和图 28) BCLK 32/24/16 BCLKs 32/24/16 BCLKs 32/24/16 BCLKs LRCLK SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA LJ CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 24 OR 16 BCLKs 24 OR 16 BCLKs 24 OR 16 BCLKs NOTES 1. SAI = 001 (2 CHANNELS), 010 (4 CHANNELS), 011 (8 CHANNELS), 100 (16 CHANNELS). 2. SDATA_FMT = 00 (I 2 S), 01 (LJ), 10 (RJ, 24-BIT), 11 (RJ, 16-BIT). 3. BCLK_EDGE = LRCLK_MODE = SLOT_WIDTH = 00 (32 BCLKs), 01 (24 BCLKs), 10 (16 BCLKs) 图 27. TDM 非脉冲模式音频格式 BCLK 32/24/16 BCLKs 32/24/16 BCLKs 32/24/16 BCLKs LRCLK SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA LJ CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 24 OR 16 BCLKs 24 OR 16 BCLKs 24 OR 16 BCLKs NOTES 1. SAI = 001 (2 CHANNELS), 010 (4 CHANNELS), 011 (8 CHANNELS), 100 (16 CHANNELS) 2. SDATA_FMT = 00 (I 2 S), 01 (LJ), 10 (RJ, 24-BIT), 11 (RJ, 16-BIT) 3. BCLK_EDGE = 0 4. LRCLK_MODE = 1 5. SLOT_WIDTH = 00 (32 BCLKs), 01 (24 BCLKs), 10 (16 BCLKs) 图 28. TDM 脉冲模式音频格式 Rev. C Page 26 of 68

27 ADAU1977 LRCLK BCLK NUMBER OF BCLK CYCLES = (NUMBER OF BCLKs/SLOT) NUMBER OF SLOTS SDATAOUTx-TDM2 SLOT1 SLOT2 SDATAOUTx-TDM4 SLOT1 SLOT2 SLOT3 SLOT4 SDATAOUTx-TDM8 SLOT1 SLOT2 SLOT3 SLOT4 SLOT5 SLOT6 SLOT7 SLOT8 SDATAOUTx-TDM16 SLOT1 SLOT2 SLOT3 SLOT4 SLOT5 SLOT6 SLOT7 SLOT8 SLOT9 SLOT10 SLOT11 SLOT12 SLOT13 SLOT14 SLOT15 SLOT16 HIGH-Z DATA WIDTH 16/24 BITS SLOT WIDTH 16/24/32BITS HIGH-Z 图 29. TDM 模式时隙分配 Rev. C Page 27 of 68

28 ADAU1977 位时钟频率取决于采样速率 时隙宽度和每时隙的位时钟数 表 19 可用来计算 BCLK 频率 采样速率 (f S ) 范围是 8 khz 到 192 khz 但在主模式下, 最大位时钟频率 (BCLK) 为 MHz 例如, 对于 192 khz 的采样速率,128 f S 就是最大可能的 BCLK 频率 因此, 每个 TDM 帧仅有 128 个位时钟周期可用 这种情况下有两个选项 : 以 32 位数据宽度在 TDM4 模式下工作或以 16 位数据宽度在 TDM8 模式下工作 从模式下不存在这一限制, 因为位时钟和帧时钟是由主机提供给 ADAU1977 可以使用 BCLK 频 率和模式的各种组合, 但必须注意, 应当选择最适合应用的组合 连接选项图 30 至图 34 显示了 I 2 S 或 TDM 模式下连接串行音频端口的可用选项 在 TDM 模式下, 建议在数据信号上包括下拉电阻, 以防止 ADAU1977 的 SDATAOUTx 引脚在非活动期间变为高阻态时线路悬空 电阻值应确保从 SDATAOUTx 引脚吸取的电流不超过 2 ma 该电阻值一般在 10 kω 到 47 kω 范围内, 具体使用何值取决于数据总线上的期间 表 19. TDM 模式位时钟频率 BCLK 频率 模式 每时隙 16 个位时钟 每时隙 24 个位时钟 每时隙 32 个位时钟 TDM2 32 fs 48 fs 64 fs TDM4 64 fs 96 fs 128 fs TDM8 128 fs 192 fs 256 fs TDM fs 384 fs 512 fs MASTER ADAU1977 SLAVE DSP BCLK LRCLK SDATAOUT1 SDATAOUT 图 30. 串行端口连接选项 1 I 2 S/LJ/RJ 模式,ADAU1977 主机 SLAVE ADAU1977 MASTER DSP BCLK LRCLK SDATAOUT1 SDATAOUT 图 31. 串行端口连接选项 2 I 2 S/LJ/RJ 模式,ADAU1977 从机 Rev. C Page 28 of 68

29 ADAU1977 MASTER ADAU1977 SLAVE DSP BCLK LRCLK SDATAOUTx SLAVE ADAU1977 OR SIMILIAR ADC BCLK LRCLK SDATAOUTx 图 32. 串行端口连接选项 3 TDM 模式,ADAU1977 主机 SLAVE ADAU1977 SLAVE DSP BCLK LRCLK SDATAOUTx MASTER ADAU1977 OR SIMILIAR ADC BCLK LRCLK SDATAOUTx 图 33. 串行端口连接选项 4 TDM 模式, 第二 ADC 主机 SLAVE ADAU1977 MASTER DSP BCLK LRCLK SDATAOUTx SLAVE ADAU1977 OR SIMILIAR ADC BCLK LRCLK SDATAOUTx 图 34. 串行端口连接选项 5 TDM 模式,DSP 主机 Rev. C Page 29 of 68

30 ADAU1977 控制端口 ADAU1977 控制端口支持两种工作模式 2 线 I 2 C 模式或 4 线 SPI 模式, 用于设置器件的内部寄存器 I 2 C 和 SPI 模式均 允许读写寄存器 全部寄存器均为 8 位宽 寄存器起始地 址为 0x00, 结束地址为 0x1A I 2 C 和 SPI 模式下的控制端口均只能用作从机, 需要系统中的主机才能工作 访问寄存器时, 器件有无主时钟无关紧 要 但是, 操作 PLL 串行音频端口和升压转换器时, 主时钟必须存在 默认情况下,ADAU1977 工作在 I 2 C 模式, 但通过将 CLATCH 引脚拉低三次, 就可以将器件置于 SPI 模式 控制端口引脚是多功能引脚, 具体功能取决于器件的工作模式 表 20 说明了两种模式下控制端口引脚的功能 表 20: 控制端口引脚功能 I 2 C 模式 SPI 模式 引脚编号 引脚名称 引脚功能 引脚类型 引脚功能 引脚类型 17 SDA/COUT SDA: 数据 I/O COUT: 输出数据 O 18 SCL/CCLK SCL: 时钟 I CCLK: 输入时钟 I 19 ADDR0/CLATCH I 2 C 器件地址位 0 I CLATCH: 输入 I 20 ADDR1/CIN I 2 C 器件地址位 1 I CIN: 输入数据 I Rev. C Page 30 of 68

31 I 2 C 模式 ADAU1977 支持 2 线串行 (I 2 C 兼容 ) 总线协议 两个引脚 串行数据 (SDA) 和串行时钟 (SCL) 用于与系统 I 2 C 主控制 器通信 在 I 2 C 模式下,ADAU1977 始终是总线上的从机, 意味着它不能启动数据传输 I 2 C 总线上的每个从机都通过 一个唯一的器件地址识别 ADAU1977 的器件地址和 R/W 字节如表 21 所示 地址存在于 I 2 C 写操作的前 7 位 ADAU1977 I 2 C 地址的位 7 和位 6 由 ADDR1 和 ADDR0 引脚上的电平设置 来自主机的第一个 I 2 C 字节的 LSB(R/W 位 ) 说明是读操作还是写操作 逻辑电平 1 对应于读操作, 逻辑电平 0 对应于写操作 表 21. ADAU1977 I 2 C 首字节格式 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 0 ADDR1 ADDR R/W ADAU1977 的 I 2 C 芯片地址的前 7 位是 xx10001 该地址字节的位 0 和位 1 可通过 ADDR1 和 ADDR0 引脚设置, 以便将芯片地址设置为所需的值 使用 ADDR1 和 ADDR0 引脚, 可将 7 位 I 2 C 器件地址设置为以下四个可能的选项之一 : I 2 C 器件地址 (0x11) I 2 C 器件地址 (0x31) I 2 C 器件地址 (0x51) I 2 C 器件地址 (0x71) 在 I 2 C 模式下,SDA 和 SCL 引脚要求将一个合适的上拉电阻连接到 IOVDD 这些信号线上的电压不应超过 IOVDD 引脚上的电压 图 46 显示了 I2C 模式的典型连接图 SDA 或 SCL 引脚的上拉电阻值可计算如下 : 其中 : 最小 RPULL UP = (IOVDD VIL)/ISINK ADAU1977 V IL 是逻辑电平 0 的最大电压 ( 即 0.4 V, 根据 I 2 C 规范 ) I SINK 是 I/O 引脚的吸电流能力 SDA 可以吸收 2 ma 电流, 因此, 对于 3.3 V 的 IOVDD,R PULL UP 最小值为 1.5 kω 根据电路板上的电容不同, 可以限制总线速度以满足上升时间和下降时间要求 对于位速率时间约为 1 Mbps 的快速模式, 上升时间必须小于 550 ns 使用下式判断是否能够满足上升时间要求 : t = RPULL UP CBOARD. 要满足 300 ns 上升时间要求,C BOARD 必须小于 236 pf 对于 SCL 引脚, 计算取决于系统所用 I 2 C 主机的吸电流能力 寻址开始时,I 2 C 总线上的各器件均处于空闲状态, 并监控 SDA 和 SCL 线有无起始条件和适当的地址 I 2 C 主机通过建立起始条件而启动数据传输 ; 起始条件要求 SDA 发生高低转换, 同时 SCL 保持高电平 这表示随后将出现地址 / 数据流 总线上的所有器件都对起始条件做出响应, 并以 MSB 优先方式从主机获取接下来的 8 个位 (7 位地址加 R/W 位 ) 主机向总线上的所有从机发送 7 位器件地址和读 / 写位 在第 9 个时钟脉冲期间, 具有匹配地址的器件通过将数据线 (SDA) 拉低来做出响应 此第 9 位称为应答位 此时, 所有其它器件从总线退出, 返回空闲状态 R/W 位决定数据的方向 如果第一个字节的 LSB 为逻辑 0, 则意味着主机将写入信息到从机, 而逻辑 1 则意味着主机将在写入地址并重复起始地址之后读取从机信息 数据传输将持续到主机发出停止条件为止 停止条件是指在 SCL 处于高电平时,SDA 上发生低电平至高电平跃迁 数据传输过程中的任何阶段都可以检测停止和起始条件 如果这些条件的置位打破了正常的读写操作顺序, ADAU1977 将立即跳出到空闲状态 IOVDD 是 I/O 电源电压, 典型范围是 1.8 V 到 3.3 V Rev. C Page 31 of 68

32 ADAU SCL FIRST BYTE (DEVICE ADDRESS) SECOND BYTE (REGISTER ADDRESS) THIRD BYTE (DATA) SDA START ADDR1 ADDR R/W ACK ADAU1977 ACK ADAU1977 STOP 图 35. I 2 C 写入 ADAU1977( 单字节 ) SCL FIRST BYTE (DEVICE ADDRESS) SECOND BYTE (REGISTER ADDRESS) SDA ADDR1 ADDR R/W START ACK ADAU1977 ACK ADAU SCL THIRD BYTE (DEVICE ADDRESS) DATA BYTE FROM ADAU1977 SDA REPEAT START ADDR1 ADDR R/W ACK ADAU1977 NO ACK STOP 图 36. I 2 C 读取 ADAU1977( 单字节 ) Rev. C Page 32 of 68

33 I 2 C 读和写操作图 37 给出了单字写操作的格式 在每第 9 个时钟脉冲, ADAU1977 都会通过拉低 SDA 来发送应答 图 38 给出了突发模式写序列的格式 该图显示了一个顺次写入单字节寄存器的例子 ADAU1977 在写完一个字节后即递增其地址寄存器, 因为请求的地址对应于 1 字节字长的寄存器或存储器区域 图 39 给出了单字读操作的格式 注意第一个 R/W 位为 0, 表示写操作 这是因为仍然需要写入地址, 以便设置内部地址 在 ADAU1977 确认接收到地址后, 主机必须发送一个重复起始命令, 然后再发送 R/W 位设置为 1( 表示读操作 ) ADAU1977 的芯片地址字节 这将导致 ADAU1977 SDA 反向, 并开始向主机回传数据 然后, 主机在每第 9 个脉冲做出响应, 向 ADAU1977 发送应答脉冲 图 40 给出了突发模式读序列的格式 该图显示了一个顺次读取单字节寄存器的例子 ADAU1977 使用 8 位寄存器地址, 因此每个字节后都会递增其地址寄存器 图 37 至图 40 使用了以下缩写 : S = 起始位 P = 停止位 AM = 主机应答 AS = 从机应答 S CHIP ADDRESS, R/W = 0 AS REGISTER ADDRESS 8 BITS AS DATA BYTE P 图 37. 单字 I 2 C 写格式 S CHIP ADDRESS, R/W = 0 AS REGISTER CHIP AS DATA AS ADDRESS ADDRESS, BYTE 1 8 BITS R/W = 0 DATA BYTE 2 AS DATA AS DATA AS... P BYTE 3 BYTE 图 38. 突发模式 I 2 C 写格式 S CHIP ADDRESS, R/W = 0 AS REGISTER AS S CHIP AS DATA P ADDRESS ADDRESS, BYTE 1 8 BITS R/W = 图 39. 单字 I 2 C 读格式 S CHIP ADDRESS, R/W = 0 AS REGISTER AS S CHIP AS DATA AM DATA ADDRESS ADDRESS, BYTE 1 BYTE 2 8 BITS R/W = 1 AM... P 图 40. 突发模式 I 2 C 读格式 Rev. C Page 33 of 68

34 ADAU1977 SPI 模式 ADAU1977 默认采用 I 2 C 模式 要调用 SPI 控制模式, 应将 CLATCH 拉低三次 这可以通过对 SPI 端口执行三个伪写操作来完成 (ADAU1977 不会应答这些操作, 参见图 41) 从第四个 SPI 写操作开始, 器件可以读写数据 要使 ADAU1977 离开 SPI 模式, 必须通过周期供电启动全面复位过程 SPI 端口使用 4 线接口, 包括 CLATCH CCLK CIN 和 COUT 信号, 始终是一个从机端口 CLATCH 信号在处理开始时应变为低电平, 在处理结束时应变为高电平 CCLK 信号在低高转换时锁存 CIN COUT 数据在 CCLK 下降沿移出 ADAU1977, 应在 CCLK 上升沿输入一个接收器件, 如微控制器等 CIN 信号承载串行输入数据,COUT 信号承载串行输出数据 在请求执行读操作之前,COUT 信号处于三态 这样就可以直接连接到其他 SPI 兼容外设的 COUT 端口, 以共享同一系统控制器端口 所有 SPI 处理都具有表 24 所示的相同基本格式 时序图见图 3 所有数据都应以 MSB 优先方式写入 芯片地址 R/W SPI 处理的第一个字节的 LSB 为 R/W 位 此位决定通信是读操作 ( 逻辑电平 1) 还是写操作 ( 逻辑电平 0) 表 22 显示了其格式 表 22. ADAU1977 SPI 地址和 R/W 字节格式 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 R/W 寄存器地址 8 位地址字解码为一个寄存器的位置 此地址即为相应寄存器的位置 数据字节数据字节数取决于所访问的寄存器 在突发模式写入中, 初始寄存器地址之后是连续的数据序列, 以供写入连续的寄存器位置 图 42 给出了对一个寄存器执行单字 SPI 写操作的示例时序图 图 43 给出了单字 SPI 读操作的示例时序图 在字节 3 开始时,COUT 引脚从高阻态变为高电平 本例中, 字节 0 至字节 1 包含器件地址 R/W 位以及要读取的寄存器地址 后续字节承载器件的数据 独立模式 ADAU1977 也可以在独立模式下工作 不过, 在独立模式下, 升压转换器 麦克风偏置和诊断模块均关断 要将器件置于独立模式, 应将 SA_MODE 引脚拉至 IOVDD 这种模式下, 某些引脚的功能发生改变以提供更大的灵活性 ( 更多信息参见表 23) 表 23. 独立模式下的引脚功能 引脚功能 设置 描述 ADDR0 0 I 2 S SAI 格式 1 TDM 模式, 由 SDATAOUT2 引脚决定 ADDR1 0 主模式 SAI 1 从模式 SAI SDA 0 MCLK = 256 f S,PLL 开启 1 MCLK = 384 f S,PLL 开启 SCL 0 48 khz 采样速率 1 96 khz 采样速率 SDATAOUT2 0 TDM4 LRCLK 脉冲 1 TDM8 LRCLK 脉冲 FAULT 0 TDM8 中的时隙 1 至时隙 4 1 TDM8 中的时隙 5 至时隙 8 若设置为 TDM8 模式,FAULT 引脚将用作输入以分配 ADC 数据时隙, 防止与 TDM 总线上的其他数据冲突 表 24. 通用控制字格式 字节 0 字节 1 字节 2 字节 3 1 器件地址 [6:0],R/W 寄存器地址 [7:0] Data[7:0] Data[7:0] 1 持续到数据结束 Rev. C Page 34 of 68

35 ADAU1977 CLATCH CCLK CIN 图 41. SPI 模式初始序列 CLATCH CCLK CIN DEVICE ADDRESS (7 BITS) R/W REGISTER ADDRESS BYTE DATA BYTE 图 42. SPI 写入 ADAU1977 的时序 ( 单字写模式 ) CCLK CLATCH CIN COUT DEVICE ADDRESS (7 BITS) R/W REGISTER ADDRESS BYTE DATA BYTE DATA BYTE FROM ADAU 图 43. SPI 读取 ADAU1977 的时序 ( 单字读模式 ) CLATCH CCLK CIN DEVICE ADDRESS BYTE REGISTER ADDRESS BYTE DATA BYTE1 DATA BYTE2 DATA BYTE n 1 DATA BYTE n 图 44. SPI 写入 ADAU1977( 多字节 ) CLATCH CCLK CIN DEVICE ADDRESS BYTE REGISTER ADDRESS BYTE COUT DATA BYTE1 DATA BYTE2 DATA BYTE3 DATA BYTE n 1 DATA BYTE n 图 45. SPI 读取 ADAU1977( 多字节 ) Rev. C Page 35 of 68

36 ADAU1977 寄存器汇总 表 25 列出了所有控制寄存器 这些寄存器可利用 I 2 C 控制端口或 SPI 控制端口进行访问 表 25. ADAU1977 寄存器汇总 寄存器名称位位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 0 复位 0x00 M_POWER [7:0] S_RST RESERVED PWUP 0x00 RW 0x01 PLL_CONTROL [7:0] PLL_LOCK PLL_MUTE RESERVED CLK_S RESERVED MCS 0x41 RW 0x02 BST_CONTROL [7:0] BST_GOOD FS_RATE BOOST_SW_ FREQ OV_EN BOOST_OV OC_EN BOOST_OC 0x4A RW 0x03 MB_BST_CONTROL [7:0] MB_VOLTS MB_EN BOOST_EN MRCV BOOST_RCVR 0x7D RW 0x04 BLOCK_POWER_SAI [7:0] LR_POL BCLKEDGE LDO_EN VREF_EN ADC_EN4 ADC_EN3 ADC_EN2 ADC_EN1 0x3F RW 0x05 SAI_CTRL0 [7:0] SDATA_FMT SAI FS 0x02 RW 0x06 SAI_CTRL1 [7:0] SDATA_SEL SLOT_WIDTH DATA_WIDTH LR_MODE SAI_MSB BCLKRATE SAI_MS 0x00 RW 0x07 SAI_CMAP12 [7:0] CMAP_C2 CMAP_C1 0x10 RW 0x08 SAI_CMAP34 [7:0] CMAP_C4 CMAP_C3 0x32 RW 0x09 SAI_OVERTEMP [7:0] SAI_DRV_C4 SAI_DRV_C3 SAI_DRV_C2 SAI_DRV_C1 DRV_HIZ OT_MCRV OT_RCVR OT 0xF0 RW 0x0A POSTADC_GAIN1 [7:0] PADC_GAIN1 0xA0 RW 0x0B POSTADC_GAIN2 [7:0] PADC_GAIN2 0xA0 RW 0x0C POSTADC_GAIN3 [7:0] PADC_GAIN3 0xA0 RW 0x0D POSTADC_GAIN4 [7:0] PADC_GAIN4 0xA0 RW 0x0E MISC_CONTROL [7:0] SUM_MODE RESERVED MMUTE RESERVED DC_CAL 0x02 RW 0x10 DIAG_CONTROL [7:0] RESERVED DIAG_EN4 DIAG_EN3 DIAG_EN2 DIAG_EN1 0x0F RW 0x11 DIAG_STATUS1 [7:0] RESERVED MIC_SHORT1 MICH_OPEN1 MICH_SB1 MICH_SG1 MICH_SMB1 MICL_SB1 MICL_SG1 0x00 RW 0x12 DIAG_STATUS2 [7:0] RESERVED MIC_SHORT2 MIC_OPEN2 MICH_SB2 MICH_SG2 MICH_SMB2 MICL_SB2 MICL_SG2 0x00 RW 0x13 DIAG_STATUS3 [7:0] RESERVED MIC_SHORT3 MIC_OPEN3 MICH_SB3 MICH_SG3 MICH_SMB3 MICL_SB3 MICL_SG3 0x00 RW 0x14 DIAG_STATUS4 [7:0] RESERVED MIC_SHORT4 MIC_OPEN4 MICH_SB4 MICH_SG4 MICH_SMB4 MICL_SB4 MICL_SG4 0x00 RW 0x15 DIAG_IRQ1 [7:0] RESERVED IRQ_RESET IRQ_DRIVE IRQ_POL DIAG_MASK4 DIAG_MASK3 DIAG_MASK2 DIAG_MASK1 0x20 RW 0x16 DIAG_IRQ2 [7:0] BST_FAULT_ MASK MIC_SHORT_ MASK MIC_OPEN_ MASK MICH_SB_ MASK MICH_SG_ MASK RESERVED MICL_SB_ MASK MICL_SG_ MASK 0x00 RW 0x17 DIAG_ADJUST1 [7:0] SHT_T_TRIP SHT_M_TRIP SHT_G_TRIP SHT_B_TRIP 0x00 RW 0x18 DIAG_ADJUST2 [7:0] RESERVED FAULT_TO RESERVED HYST_SM_EN HYST_SG_EN HYST_SB_EN 0x20 RW 0x19 ASDC_CLIP [7:0] RESERVED ADC_CLIP4 ADC_CLIP3 ADC_CLIP2 ADC_CLIP1 0x00 RW 0x1A DC_HPF_CAL [7:0] DC_SUB_C4 DC_SUB_C3 DC_SUB_C2 DC_SUB_C1 DC_HPF_C4 DC_HPF_C3 DC_HPF_C2 DC_HPF_C1 0x00 RW RW Rev. C Page 36 of 68

37 ADAU1977 寄存器详解主电源和软件复位寄存器 地址 :0x00; 复位 :0x00; 名称 : M_POWER 电源管理控制寄存器用于使能升压调节器 麦克风偏置 PLL 带隙基准电压源 ADC 和 LDO 调节器 表 26. M_POWER 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 S_RST 软件复位 软件复位将复位所有内部电路, 并将所有控制寄存器置于默认状态 上电或关断周期中无必要复位 ADAU1977 0x0 RW 0 1 正常工作软件复位 [6:1] RESERVED 保留 0x00 RW 0 PWUP 主机上电控制 主机上电控制使 ADAU1977 完全上电或完全关断 要使 ADAU1977 上电, 此位必须设为 1 各模块可通过相应的电源控制寄存器关断 0x0 RW 0 1 完全关断主机上电 Rev. C Page 37 of 68

38 ADAU1977 PLL 控制寄存器 地址 :0x01; 复位 : 0x41; 名称 : PLL_CONTROL 表 27. PLL_CONTROL 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 PLL_LOCK PLL 锁定状态 PLL 锁定状态位 当一个 PLL 锁定时 0x0 R 0 PLL 未锁定 1 PLL 已锁定 6 PLL_MUTE PLL 未锁定自动静音 设为 1 时, 若 PLL 解除锁定, 则 ADC 输出静音 0x1 RW 0 PLL 未锁定时无自动静音 1 PLL 未锁定时自动静音 5 RESERVED 保留 0x0 RW 4 CLK_S PLL 时钟源选择 选择 PLL 的输入时钟源 0x0 RW 0 MCLK 用于 PLL 输入 1 LRCLK 用于 PLL 输入 ; 仅支持大于 32 khz 的采样速率 [2:0] MCS 主时钟选择 MCS 位决定 PLL 的倍频系数 必须根据输入 MCLK 频率和采样速率设置 0x1 RW f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 部分 ) f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 部分 ) f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 部分 ) f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 部分 ) f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 部分 ) Rev. C Page 38 of 68

39 ADAU1977 位 Bit 名称 设置 描述 复位 访问类型 101 保留 110 保留 111 保留 DC-DC 升压转换器控制寄存器 地址 :0x02; 复位 : 0x4A; 名称 : BST_CONTROL 表 28. BST_CONTROL 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 BST_GOOD 升压转换器输出状态 0x0 R 0 升压转换器输出未稳定 1 升压转换器输出良好 [6:5] FS_RATE 升压开关频率的采样速率控制 0x2 RW 00 8 khz/16 khz/32 khz/64 khz/128 khz fs khz/22.05 khz/44.1 khz/88.2 khz/176.4 khz fs khz/24 khz/48 khz/96 khz/192 khz fs 11 保留 4 BOOST_SW_FREQ 升压调节器开关频率 0x0 RW MHz 开关频率 1 3 MHz 开关频率 3 OV_EN 过压故障保护使能 0x1 RW 0 禁用 1 使能 2 BOOST_OV 升压转换器过压故障状态 0x0 R 1 过压故障 1 OC_EN 过流故障保护使能 0x1 RW 0 禁用 1 使能 0 BOOST_OC 升压转换器过流故障状态 0x0 R 1 升压过流保护激活 Rev. C Page 39 of 68

40 ADAU1977 MICBIAS 和升压控制寄存器 地址 :0x03; 复位 : 0x7D; 名称 : MB_BST_CONTROL 表 29. MB_BST_CONTROL 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:4] MB_VOLTS MICBIAS 输出电压 0x7 RW V V V V V V V V V 1001 保留 1010 保留 1011 保留 1100 保留 1101 保留 1110 保留 1111 保留 3 MB_EN MICBIAS 使能 0x1 RW 0 MICBIAS 关断 1 MICBIAS 使能 2 BOOST_EN 升压使能 0x1 RW 0 升压关闭 1 升压开启 1 MRCV 升压故障手动恢复 0x0 W 1 尝试升压故障手动恢复 Rev. C Page 40 of 68

41 ADAU1977 位 Bit 名称 Bit 名称 描述 复位 访问类型 0 BOOST_RCVR 升压恢复模式 0x1 RW 0 自动故障恢复 1 手动故障恢复 ; 使用 MRCV 恢复 模块电源控制和串行端口控制寄存器 地址 :0x04; 复位 :0x3F; 名称 : BLOCK_POWER_SAI 表 30. BLOCK_POWER_SAI 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 LR_POL 设置 LRCLK 极性 0x0 RW 0 LRCLK 先低后高 1 LRCLK 先高后低 6 BCLKEDGE 设置数据改变的位时钟边沿 0x0 RW 0 数据在下降沿改变 1 数据在上升沿改变 5 LDO_EN LDO 调节器使能 0x1 RW 0 LDO 关断 1 LDO 使能 4 VREF_EN 基准电压源使能 0x1 RW 0 基准电压源关断 1 基准电压源使能 3 ADC_EN4 ADC 通道 4 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 2 ADC_EN3 ADC 通道 3 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 1 ADC_EN2 ADC 通道 2 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 0 ADC_EN1 ADC 通道 1 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 Rev. C Page 41 of 68

42 ADAU1977 串行端口控制寄存器 1 地址 :0x05; 复位 :0x02; 名称 : SAI_CTRL0 表 31. SAI_CTRL0 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:6] SDATA_FMT 串行数据格式 0x0 RW 00 I 2 S 数据相对于 LRCLK 边沿延迟 1 BCLK 01 左对齐 10 右对齐,24 位数据 11 右对齐,16 位数据 [5:3] SAI 串行端口模式 0x0 RW 000 立体声 (I 2 S LJ RJ) 001 TDM2 010 TDM4 011 TDM8 100 TDM16 [2:0] FS 采样速率 0x2 RW khz 至 12 khz khz 至 24 khz khz 至 48 khz khz 至 96 khz khz 至 192 khz Rev. C Page 42 of 68

43 ADAU1977 串行端口控制寄存器 2 地址 :0x06; 复位 :0x00; 名称 : SAI_CTRL1 表 32. SAI_CTRL1 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 SDATA_SEL TDM4 或更大模式下的 SDATAOUTx 引脚选择 0x0 RW 0 SDATAOUT1 用于输出 1 SDATAOUT2 用于输出 [6:5] SLOT_WIDTH TDM 模式下每个时隙的 BCLK 数 0x0 RW 00 每个 TDM 时隙 32 个 BCLK 01 每个 TDM 时隙 24 个 BCLK 10 每个 TDM 时隙 16 个 BCLK 11 保留 4 DATA_WIDTH 输出数据位宽度 0x0 RW 0 24 位数据 1 16 位数据 3 LR_MODE 设置 LRCLK 模式 0x0 RW 0 50% 占空比时钟 1 脉冲 LRCLK 为单 BCLK 周期宽脉冲 2 SAI_MSB 设置数据以 MSB 或 LSB 优先方式输入 / 输出 0x0 RW 0 MSB 优先数据 1 LSB 优先数据 1 BCLKRATE 设置主模式下产生的每个数据通道的位时钟周期数 0x0 RW 0 每通道 32 个 BCLK 1 每通道 16 个 BCLK 0 SAI_MS 设置串行端口为主模式或从模式 0x0 RW 0 LRCLK/BCLK 从机 1 LRCLK/BCLK 主机 Rev. C Page 43 of 68

44 ADAU1977 输出串行端口通道映射寄存器 地址 :0x07; 复位 :0x10; 名称 : SAI_CMAP12 表 33. SAI_CMAP12 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:4] CMAP_C2 ADC 通道 2 输出映射 0x1 RW 0000 通道的时隙 通道的时隙 通道的时隙 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的时隙 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的时隙 5( 仅 TDM8+) 0101 通道的时隙 6( 仅 TDM8+) 0110 通道的时隙 7( 仅 TDM8+) 0111 通道的时隙 8( 仅 TDM8+) 1000 通道的时隙 9( 仅 TDM16) 1001 通道的时隙 10( 仅 TDM16) 1010 通道的时隙 11( 仅 TDM16) 1011 通道的时隙 12( 仅 TDM16) 1100 通道的时隙 13( 仅 TDM16) 1101 通道的时隙 14( 仅 TDM16) 1110 通道的时隙 15( 仅 TDM16) 1111 通道的时隙 16( 仅 TDM16) Rev. C Page 44 of 68

45 ADAU1977 位 Bit 名称 设置 描述 复位 访问类型 [3:0] CMAP_C1 ADC 通道 1 输出映射 如果将 CMAP 设置为一个对给定串行模式而言不存在的时隙, 则不会驱动该通道 例如, 若 CMAP 设置为时隙 9 且串行格式为 I2S, 则不会驱动该通道 如果将多个通道设置为同一时隙, 则仅驱动编号最低的通道, 而不会驱动其他通道 0x0 RW 0000 通道的时隙 通道的时隙 通道的时隙 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的时隙 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的时隙 5( 仅 TDM8+) 0101 通道的时隙 6( 仅 TDM8+) 0110 通道的时隙 7( 仅 TDM8+) 0111 通道的时隙 8( 仅 TDM8+) 1000 通道的时隙 9( 仅 TDM16) 1001 通道的时隙 10( 仅 TDM16) 1010 通道的时隙 11( 仅 TDM16) 1011 通道的时隙 12( 仅 TDM16) 1100 通道的时隙 13( 仅 TDM16) 1101 通道的时隙 14( 仅 TDM16) 1110 通道的时隙 15( 仅 TDM16) 1111 通道的时隙 16( 仅 TDM16) Rev. C Page 45 of 68

46 ADAU1977 输出串行端口通道映射寄存器 地址 :0x08; 复位 : 0x32; 名称 : SAI_CMAP34 表 34. SAI_CMAP34 的位功能描述 位 Bit 名称 Bit 名称 描述 复位 访问类型 [7:4] CMAP_C4 ADC 通道 4 输出映射 0x3 RW 0000 通道的时隙 通道的时隙 通道的时隙 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的时隙 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的时隙 5( 仅 TDM8+) 0101 通道的时隙 6( 仅 TDM8+) 0110 通道的时隙 7( 仅 TDM8+) 0111 通道的时隙 8( 仅 TDM8+) 1000 通道的时隙 9( 仅 TDM16) 1001 通道的时隙 10( 仅 TDM16) 1010 通道的时隙 11( 仅 TDM16) 1011 通道的时隙 12( 仅 TDM16) 1100 通道的时隙 13( 仅 TDM16) 1101 通道的时隙 14( 仅 TDM16) 1110 通道的时隙 15( 仅 TDM16) 1111 通道的时隙 16( 仅 TDM16) Rev. C Page 46 of 68

47 ADAU1977 位 Bit 名称 Bit 名称 描述 复位 访问类型 [3:0] CMAP_C3 ADC 通道 3 输出映射 0x2 RW 0000 通道的时隙 通道的时隙 通道的时隙 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的时隙 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的时隙 5( 仅 TDM8+) 0101 通道的时隙 6( 仅 TDM8+) 0110 通道的时隙 7( 仅 TDM8+) 0111 通道的时隙 8( 仅 TDM8+) 1000 通道的时隙 9( 仅 TDM16) 1001 通道的时隙 10( 仅 TDM16) 1010 通道的时隙 11( 仅 TDM16) 1011 通道的时隙 12( 仅 TDM16) 1100 通道的时隙 13( 仅 TDM16) 1101 通道的时隙 14( 仅 TDM16) 1110 通道的时隙 15( 仅 TDM16) 1111 通道的时隙 16( 仅 TDM16) Rev. C Page 47 of 68

48 ADAU1977 串行输出驱动和过温保护控制寄存器 地址 :0x09; 复位 : 0xF0; 名称 : SAI_OVERTEMP 表 35. SAI_OVERTEMP 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 SAI_DRV_C4 通道 4 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 ; 时隙由 CMAP 决定 6 SAI_DRV_C3 通道 3 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 ; 时隙由 CMAP 决定 5 SAI_DRV_C2 通道 2 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 ; 时隙由 CMAP 决定 4 SAI_DRV_C1 通道 1 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 ; 时隙由 CMAP 决定 3 DRV_HIZ 选择是让不用的 SAI 通道处于三态还是积极驱动这些数据时隙 0x0 RW 0 不用的输出驱动到低电平 1 不用的输出处于高阻态 2 OT_MCRV 过温手动恢复尝试 0x0 W 1 尝试手动过温恢复 1 OT_RCVR 过温手动恢复 0x0 RW 0 过温故障自动恢复 1 过温故障手动恢复, 必须设置 OT_MCRV 寄存器 Rev. C Page 48 of 68

49 ADAU1977 位 Bit 名称 设置 描述 复位 访问类型 0 OT 过温状态 0x0 R 1 过温故障 后置 ADC 增益通道 1 控制寄存器 地址 :0x0A; 复位 : 0xA0; 名称 : POSTADC_GAIN1 表 36. POSTADC_GAIN1 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:0] PADC_GAIN1 通道 1 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. C Page 49 of 68

50 ADAU1977 后置 ADC 增益通道 2 控制寄存器 地址 :0x0B; 复位 : 0xA0; 名称 : POSTADC_GAIN2 表 37. POSTADC_GAIN2 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:0] PADC_GAIN2 通道 2 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. C Page 50 of 68

51 ADAU1977 后置 ADC 增益通道 3 控制寄存器 地址 :0x0C; 复位 : 0xA0; 名称 : POSTADC_GAIN3 表 38. POSTADC_GAIN3 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:0] PADC_GAIN3 通道 3 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. C Page 51 of 68

52 ADAU1977 后置 ADC 增益通道 4 控制寄存器 地址 :0x0D; 复位 : 0xA0; 名称 : POSTADC_GAIN4 表 39. POSTADC_GAIN4 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:0] PADC_GAIN4 通道 4 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. C Page 52 of 68

53 ADAU1977 高通滤波器和直流失调控制寄存器以及主静音 地址 :0x0E; 复位 :0x02; 名称 : MISC_CONTROL 表 40. MISC_CONTROL 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:6] SUM_MODE 实现较高 SNR 的通道求和模式控制 0x0 RW 00 4 通道正常工作 01 2 通道求和工作 ( 参见 ADC 求和模式 部分 ) 10 1 通道求和工作 ( 参见 ADC 求和模式 部分 ) 11 保留 5 RESERVED 保留 0x0 RW 4 MMUTE 主静音 0x0 RW 1 所有通道静音 [3:1] RESERVED 保留 0x1 RW 0 DC_CAL 直流校准使能 0x0 RW 1 执行直流校准 Rev. C Page 53 of 68

54 ADAU1977 诊断控制寄存器 地址 :0x10; 复位 : 0x0F; 名称 : DIAG_CONTROL 表 41. DIAG_CONTROL 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 [7:4] RESERVED 保留 0x0 RW 3 DIAG_EN4 诊断使能通道 4 0x1 RW 0 诊断禁用 1 诊断使能 2 DIAG_EN3 诊断使能通道 3 0x1 RW 0 诊断禁用 1 诊断使能 1 DIAG_EN2 诊断使能通道 2 0x1 RW 0 诊断禁用 1 诊断使能 0 DIAG_EN1 诊断使能通道 1 0x1 RW 0 诊断禁用 1 诊断使能 Rev. C Page 54 of 68

55 ADAU1977 诊断报告寄存器通道 1 地址 :0x11; 复位 :0x00; 名称 : DIAG_STATUS1 表 42. DIAG_STATUS1 的位功能描述 位 Bit 名称 设置 描述 复位 访问类型 7 RESERVED 保留 0x0 RW 6 MIC_SHORT1 麦克风引脚短路 0x0 R 1 麦克风引脚短路 5 MICH_OPEN1 麦克风开路连接 0x0 R 1 麦克风开路连接 4 MICH_SB1 麦克风高电平短接电源 0x0 R 1 麦克风高电平短接电源 3 MICH_SG1 麦克风高电平短接地 0x0 R 1 麦克风高电平短接地 2 MICH_SMB1 麦克风高电平短接 MICBIAS 0x0 R 1 麦克风高电平短接 MICBIAS 1 MICL_SB1 麦克风低电平短接电源 0x0 R 1 麦克风低电平短接电源 0 MICL_SG1 麦克风低电平短接地 0x0 R 1 麦克风低电平短接地 Rev. C Page 55 of 68

目录 产品特性... 1 应用... 1 概述... 1 功能框图... 1 修订历史... 2 技术规格... 3 模拟性能规格... 3 数字输入 / 输出规格... 3 电源规格... 4 数字滤波器规格... 4 时序规格... 5 绝对最大额定值... 7 热阻... 7 ESD 警告..

目录 产品特性... 1 应用... 1 概述... 1 功能框图... 1 修订历史... 2 技术规格... 3 模拟性能规格... 3 数字输入 / 输出规格... 3 电源规格... 4 数字滤波器规格... 4 时序规格... 5 绝对最大额定值... 7 热阻... 7 ESD 警告.. 四通道模数转换器 (ADC) ADAU1979 产品特性四路 4.5 V rms( 典型值 ) 差分输入利用片内锁相环 (PLL) 获得主时钟低电磁干扰 (EMI) 设计模数转换器 (ADC) 动态范围 :109 db( 典型值 ) 总谐波失真加噪声 (THD + N): 95 db( 典型值 ) 可选数字高通滤波器 24 位立体声 ADC,8 khz 至 192 khz 采样速率数字音量控制, 具有自动缓升功能

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

目录特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 性能规格... 3 电源要求... 4 数字输入 / 输出... 4 数字时序... 4 绝对最大额定值... 6 热阻... 6 ESD 警告... 6 引脚配置和功能描述... 7 典型工作特性...

目录特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 性能规格... 3 电源要求... 4 数字输入 / 输出... 4 数字时序... 4 绝对最大额定值... 6 热阻... 6 ESD 警告... 6 引脚配置和功能描述... 7 典型工作特性... 数字输入 2 W D 类音频功率放大器 SSM259 特性无滤波 数字输入 D 类放大器独立工作或 I 2 C 控制串行数字音频接口支持各种常见音频格式 :I 2 S 左对齐 右对齐 TDM-6 和 PCM 采用 5 V 电源时能够以 2.3 W 功率驱动 4 Ω 负载 以.35 W 功率驱动 8 Ω 负载, 且 THD + N 等于 % 采用 2 引脚.4 mm.7 mm 0.4 mm 间距 WLCSP

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

目录 特性... 应用... 概述... 修订历史...3 功能框图...4 技术规格...5 模拟性能...5 数字输入 / 输出...7 电源...7 温度范围...7 PLL 和振荡器...7 调节器...8 数字时序规格...8 绝对最大额定值... 热阻... ESD 警告... 引脚配置

目录 特性... 应用... 概述... 修订历史...3 功能框图...4 技术规格...5 模拟性能...5 数字输入 / 输出...7 电源...7 温度范围...7 PLL 和振荡器...7 调节器...8 数字时序规格...8 绝对最大额定值... 热阻... ESD 警告... 引脚配置 SigmaDSP 28/56 位音频处理器, 内置 2 个 ADC 和 4 个 DAC 特性 28/56 位 50 MIPS 数字音频处理器 2 个 ADC:SNR 为 00 db,thd + N 为 83 db 4 个 DAC:SNR 为 04 db,thd + N 为 90 db 完全独立工作从串行 EEPROM 自引导辅助 ADC 配有 4 路输入多路复用器, 用于模拟控制 GPIO 用于数字控制和输出可利用

More information

目录特性... 应用... 功能框图... 修订历史... 3 概述... 4 技术规格... 5 数字时序规格... 8 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 工作原理... 7 系统框图... 7 概览... 8 初始化... 2 主时钟和 PLL.

目录特性... 应用... 功能框图... 修订历史... 3 概述... 4 技术规格... 5 数字时序规格... 8 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 工作原理... 7 系统框图... 7 概览... 8 初始化... 2 主时钟和 PLL. 特性完全可编程的音频数字信号处理器 (DSP), 可改善声音处理性能可利用专有图形编程工具 SigmaStudio 开发自定义信号流程 SigmaDSP 内核频率 :72 MHz; 每个样本指令数 :3584 (48 khz) 4k 参数 RAM,8k 数据 RAM 灵活的音频路由矩阵 (FARM) 24 通道数字输入和输出最多 8 个立体声异步采样速率转换器 ( 采样比范围 ::8 至 7.75:,

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1 3 GHz HDMI 2:2 交叉点收发器集成屏幕显示 ADV7626 产品特性 2 路输入 2 路输出交叉点 HDMI 收发器支持 HDMI 支持 3 GHz 视频 ( 高达 4k 2k) 音频回授通道 (ARC) 支持 3D 电视内容类型位兼容 CEC 1.4 扩展色度基于字符和图标的屏幕显示 (OSD) 3D OSD 叠加于所有强制性 3D 格式支持 OSD 叠加于 3 GHz 视频格式高带宽数字内容保护

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Si53xx Family Reference Manual (Chinese)

Si53xx Family Reference Manual (Chinese) 任 意 频 率 精 准 时 钟 A NY-FREQUENCY PRECISION CLOCKS Si5316, Si5319, Si5322, Si5323, Si5324, Si5325, Si5326, Si5327, Si5365, Si5366, Si5367, Si5368, Si5369, Si5374, Si5375 系 列 参 考 手 册 FAMILY REFERENCE MANUAL

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

<4D F736F F D F F434E5F56312E325FEFAEC0EBD7D3B5E7B3D8B3E4B5E7B1A3BBA45FCAE4C8EBB9FDD1B9B9FDC1F7B1A3BBA42E646F63>

<4D F736F F D F F434E5F56312E325FEFAEC0EBD7D3B5E7B3D8B3E4B5E7B1A3BBA45FCAE4C8EBB9FDD1B9B9FDC1F7B1A3BBA42E646F63> 输出模式为 LDO 加直通模式的锂离子电池充电保护控制器 特性 描述 输入过压保护 输入限流保护 专有的 LDO 加直通输出模式 内置专有的 K-Charge TM 技术, 可根据芯片温度智能调整输出电流 内置过温保护 ESD 保护 :±8KV(HBM) 纤小的 DFN2x2-8L 封装 应用 蜂窝电话 数码相机 PDA MP3 AW3282 是一款高集成度的锂离子电池充电保护控制器 AW3282

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information