目录 产品特性... 1 应用... 1 概述... 1 功能框图... 1 修订历史... 2 技术规格... 3 模拟性能规格... 3 数字输入 / 输出规格... 3 电源规格... 4 数字滤波器规格... 4 时序规格... 5 绝对最大额定值... 7 热阻... 7 ESD 警告..

Size: px
Start display at page:

Download "目录 产品特性... 1 应用... 1 概述... 1 功能框图... 1 修订历史... 2 技术规格... 3 模拟性能规格... 3 数字输入 / 输出规格... 3 电源规格... 4 数字滤波器规格... 4 时序规格... 5 绝对最大额定值... 7 热阻... 7 ESD 警告.."

Transcription

1 四通道模数转换器 (ADC) ADAU1979 产品特性四路 4.5 V rms( 典型值 ) 差分输入利用片内锁相环 (PLL) 获得主时钟低电磁干扰 (EMI) 设计模数转换器 (ADC) 动态范围 :109 db( 典型值 ) 总谐波失真加噪声 (THD + N): 95 db( 典型值 ) 可选数字高通滤波器 24 位立体声 ADC,8 khz 至 192 khz 采样速率数字音量控制, 具有自动缓升功能 I 2 C/SPI 可控, 可提高灵活性软件可控无杂音静音功能软件关断右对齐 左对齐 I 2 S 和 TDM 模式主机和从机工作模式 40 引脚 LFCSP 封装通过汽车应用认证 概述 ADAU1979 集成 4 个高性能模数转换器 (ADC), 其交流耦合输入具有 4.5 V rms 性能 这些 ADC 采用多位 Σ-Δ 架构, 其连续时间前端能够实现低 EMI 性能 它具有一个 I 2 C/ 串行外设接口 (SPI) 控制端口, 微控制器利用该端口可以调整音量和许多其它参数 ADAU1979 仅采用 3.3 V 单电源供电 器件内部可产生所需的数字 DVDD 电源 低功耗架构则降低了器件的功耗 片内 PLL 可从外部时钟输入或帧时钟 ( 采样速率时钟 ) 获得主时钟 当使用帧时钟时, 系统中无需使用独立的高频主时钟 ADAU1979 采用 40 引脚 LFCSP 封装 请注意, 在整篇数据手册中, 多功能引脚 ( 如 SCL/CCLK) 由整个引脚名称或引脚的单个功能表示 ; 例如 CCLK 即表示仅与此功能相关 应用汽车音频系统有源噪声消除系统 功能框图 PROGRAMMABLE GAIN DECIMATOR/HPF DC CALIBRATION SERIAL AUDIO PORT BG REF AGNDx AVDDx PLL AGND1 AGND2 AGND3 AGND4 AGND5 AGND6 DGND VREF MCLKIN PLL_FILT SA_MODE AVDD1 AVDD3 AVDD2 ADAU1979 AVDDx 3.3V TO 1.8V REGULATOR DVDD AIN1 AIN1 AIN2 AIN2 AIN3 AIN3 AIN4 AIN4 ADC ADC ADC ADC IOVDD LRCLK BCLK SDATAOUT1 SDATAOUT2 I 2 C/SPI CONTROL SCL/CCLK SDA/COUT ADDR1/CIN ADDR0/CLATCH AGNDx AGNDx PD/RST 图 1. Rev. 0 Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners. One Technology Way, P.O. Box 9106, Norwood, MA , U.S.A. Tel: Analog Devices, Inc. All rights reserved. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录 产品特性... 1 应用... 1 概述... 1 功能框图... 1 修订历史... 2 技术规格... 3 模拟性能规格... 3 数字输入 / 输出规格... 3 电源规格... 4 数字滤波器规格... 4 时序规格... 5 绝对最大额定值... 7 热阻... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数 工作原理 概述 电源和基准电压源 上电复位序列 PLL 和时钟 模拟输入 模数转换器 ADC 求和模式 串行音频数据输出端口 数据格式 控制端口 I 2 C 模式 SPI 模式 寄存器汇总 寄存器详解 主电源和软件复位寄存器 PLL 控制寄存器 模块电源控制和串行端口控制寄存器 串行端口控制寄存器 串行端口控制寄存器 输出串行端口通道 1 和通道 2 映射寄存器 输出串行端口通道 3 和通道 4 映射寄存器 串行输出驱动控制和过温保护状态寄存器 后置 ADC 增益通道 1 控制寄存器 后置 ADC 增益通道 2 控制寄存器 后置 ADC 增益通道 3 控制寄存器 后置 ADC 增益通道 4 控制寄存器 高通滤波器和直流失调控制寄存器以及主静音寄存器. 38 ADC 削波状态寄存器 数字直流高通滤波器和校准寄存器 典型应用电路 外形尺寸 订购指南 汽车应用产品 修订历史 2013 年 11 月 修订版 0: 初始版 Rev. 0 Page 2 of 44

3 技术规格 所有通道的性能完全相同, 不包括通道间增益不匹配和通道间相位偏差规格 除非另有说明,AVDDx/IOVDD = 3.3 V; DVDD( 内部产生 )= 1.8 V;T A = 40 C 至 +105 C 主时钟 = MHz(48 khz f S 256 x f S 模式 ); 输入采样速率 = 48 khz; 测量带宽 = 20 Hz 至 20 khz; 字宽 = 24 位 ; 负载电容 ( 数字输出 )= 20 pf; 负载电流 ( 数字输出 )= ±1 ma; 数字输入高电压 = 2.0 V; 数字输入低电压 = 0.8 V 模拟性能规格 表 1. 参数 测试条件 / 注释 最小值典型值最大值单位 线路输入 满量程交流差分输入电压 V rms 满量程单端输入电压 V rms 输入共模电压 AINx/AINx 引脚的 V IN, cm 1.5 V dc 模数转换器差分输入电阻 AINx 和 AINx 之间 kω 单端输入电阻 AINx 和 AINx 之间 kω ADC 分辨率 24 位 1 动态范围 (A 加权 ) 线路输入 输入 = 1 khz, 60 dbfs(0 dbfs = 4.5 V rms 输入 ) db 总谐波失真加噪声 (THD + N) 输入 = 1 khz, 1 dbfs(0 dbfs = 4.5 V rms 输入 ) db 数字增益后置 ADC 0 60 db 增益误差 % 通道间增益不匹配 db 增益漂移 100 ppm/ C 共模抑制比 (CMRR) 400 mv rms, 1 khz db 400 mv rms, 20 khz 56 db 电源抑制比 (PSRR) 100 mv rms, 1 khz AVDD = 3.3 V 70 db 通道间隔离 100 db 通道间相位偏差 0 度 基准电压 内部基准电压 VREF 引脚 V 输出阻抗 20 kω ADC 串行端口输出采样速率 khz 1 针对 44.1 khz 至 192 khz 的采样频率 f S 范围 ADAU1979 数字输入 / 输出规格 表 2. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 输入高电平输入电压 (V IH ) 0.7 IOVDD V 低电平输入电压 (V IL ) 0.3 IOVDD V 输入漏电流 µa 输入电容 5 pf 输出高电平输出电压 (V OH ) IOH = 1 ma IOVDD 0.60 V 低电平输出电压 (V OL ) IOL = 1 ma 0.4 V Rev. 0 Page 3 of 44

4 电源规格 除非另有说明,AVDD = 3.3 V,DVDD = 1.8 V,IOVDD = 3.3 V,f S = 48 khz( 主模式 ) 表 3. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 电源 DVDD 片内低压差 (LDO) 调节器 V AVDDx V IOVDD V IOVDD 电流 主时钟 = 256 x f S 正常工作 fs = 48 khz 450 µa fs = 96 khz 880 µa fs = 192 khz 1.75 ma 关断 fs = 48 khz 至 192 khz 20 µa AVDDx 电流正常工作 4 通道 ADC, 内部 DVDD 14 ma 4 通道 ADC, 外部 DVDD 9.5 ma 关断 270 µa DVDD 电流正常工作 外部 DVDD 5 ma 关断 65 µa 功耗正常工作 主时钟 = 256 x f S,48 khz 模拟电源 内部 DVDD 46.2 mw 外部 DVDD 31 mw 数字电源 外部 DVDD 8.1 mw 数字 I/O 电源 IOVDD = 3.3 V 1.49 mw 所有电源关断 960 µw 数字滤波器规格 表 4. 参数 模式 系数 最小值 典型值 最大值 单位 ADC 抽取滤波器 所有模式,f S = 48 khz 时的典型值 通带 fs 21 khz 通带纹波 ±0.015 db 过渡带 0.5 fs 24 khz 阻带 fs 27 khz 阻带衰减 79 db 群延迟 fs = 8 khz 至 96 khz /fS 479 µs fs = 192 khz 35 µs 高通滤波器 所有模式,48 khz 时的典型值 截止频率 At 3 db 点 Hz 相位偏差 20 Hz 时 10 度 建立时间 1 sec ADC 数字增益 全部模式 0 60 db 增益步长 db Rev. 0 Page 4 of 44

5 时序规格 表 5. 限值 参数 tmin tmax 单位 说明 输入主时钟 (MCLK) 占空比 % MCLKIN 占空比 ;MCLKIN 为 256 x f S 384 x f S 512 x f S 和 768 x f S fmclkin 见表 9 MHz MCLKIN 频率,PLL 在 MCLK 模式 复位 复位脉冲 t RESET 15 ns RST 低电平 PLL 锁定时间 10 ms ADC 串行输出端口 参见图 2 tabh 10 ns tabl 10 ns tals 10 ns talh 5 ns tabdd 18 ns SPI 端口 参见图 3 fcclk 10 MHz tccph 35 ns tccpl 35 ns tcds 10 ns tcdh 10 ns tcls 10 ns tclh 40 ns tclph 10 ns tcoe 30 ns tcod 30 ns tcots 30 ns I 2 C 端口 参见图 4 fscl 400 khz tsclh 0.6 µs tscll 1.3 µs tscs 0.6 µs tsch 0.6 µs tds 100 ns tdh 0 tscr 300 ns tscf 300 ns tsdr 300 ns tsdf 300 ns tbft 1.3 µs tsusto 0.6 µs BCLK 高电平, 从模式 BCLK 低电平, 从模式 LRCLK 建立至 BCLK 上升, 从模式 BCLK 上升至 LRCLK 保持, 从模式 BCLK 下降至 SDATAOUTx 延迟时间 CCLK 频率 CCLK 高电平 CCLK 低电平 CIN 建立至 CCLK 上升 CCLK 上升至 CIN 保持 CLATCH 建立至 CCLK 上升 CCLK 上升至 CLATCH 保持 CLATCH 高电平 CLATCH 下降至 COUT 使能 CCLK 下降至 COUT 延迟时间 CLATCH 上升至 COUT 三态 SCL 频率 SCL 高电平 SCL 低电平建立时间 ; 与重复起始条件相关保持时间 ; 此时间结束后产生首个时钟脉冲数据建立时间数据保持时间 SCL 上升时间 SCL 下降时间 SDA 上升时间 SDA 下降时间总线空闲时间 ; 停止与起始之间的时间停止条件的建立时间 Rev. 0 Page 5 of 44

6 时序图 t ALS LRCLK t ALH t ABH BCLK t ABL SDATAOUTx LEFT JUSTIFIED MODE t ABDD MSB MSB 1 SDATAOUTx I 2 S MODE t ABDD MSB SDATAOUTx RIGHT JUSTIFIED MODE t ABDD MSB LSB 8-BIT CLOCKS (24-BIT DATA) 12-BIT CLOCKS (20-BIT DATA) 14-BIT CLOCKS (18-BIT DATA) 16-BIT CLOCKS (16-BIT DATA) 图 2. ADC 串行输出端口时序 t CLS t CLH t COE t CCPL t CLPH CLATCH t CCPH CCLK CIN t CDH t CDS t COTS COUT t COD 图 3. SPI 端口时序 t SCH t SDR t DS STOP START t SCH SDA t SCLH t SDF t BFT t SCR SCL t SCLL t DH t SCF t SCS t SUSTO 图 4. I 2 C 端口时序 Rev. 0 Page 6 of 44

7 绝对最大额定值 表 6. 参数模拟电源 (AVDDx) 数字电源 DVDD IOVDD 输入电流 ( 电源引脚除外 ) 模拟输入电压 ( 信号引脚 ) 数字输入电压 ( 信号引脚 ) 工作温度范围 ( 环境 ) 结温范围存储温度范围 额定值 0.3 V 至 +3.6 V 0.3 V 至 V 0.3 V 至 V ±20 ma 0.3 V 至 +3.6 V 0.3 V 至 +3.6 V 40 C 至 +105 C 40 C 至 +125 C 65 C 至 +150 C 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 不表示在这些条件下或者在任何其它超出本技术规范操作章节中所示规格的条件下, 器件能够正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 热阻 θ JA 表示结至环境热阻,θ JC 表示结至外壳热阻 所有特性均是利用标准 JEDEC 电路板根据 JESD51 标准进行测量 表 7. 热阻 封装类型 θja θjc 单位 40 引脚 LFCSP C/W ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. 0 Page 7 of 44

8 DGND IOVDD SDATAOUT1 SDATAOUT2 LRCLK BCLK SDA/COUT SCL/CCLK ADDR0/CLATCH ADDR1/CIN 引脚配置和功能描述 AVDD 1 9 AIN 3 8 AIN 4 AIN AIN AIN AIN AIN 3 2 AIN AVDD 3 ADAU1979 AGND1 1 VREF 2 PLL_FILT 3 AVDD2 4 AGND2 5 PD/RST 6 MCLKIN 7 NC 8 SA_MODE 9 DVDD 10 ADAU1979 TOP VIEW (Not to Scale) 30 NC 29 AGND6 28 AGND5 27 NC 26 NC 25 NC 24 NC 23 NC 22 AGND4 21 AGND3 NOTES 1. NC = NO CONNECT. DO NOT CONNECT TO THESE PINS. LEAVE THE NC PINS OPEN. 2. THE EXPOSED PAD MUST BE CONNECTED TO THE GROUND PLANE ON THE PRINTED CIRCUIT BOARD (PCB). 图 5. 引脚配置 表 8. 引脚功能描述 引脚编号 引脚名称 1 类型 说明 1 AGND1 P 模拟地 2 VREF O 基准电压源 VREF 应通过一个 10 µf 电容与一个 100 nf 电容的并联去耦到 AGND 3 PLL_FILT O 锁相环滤波器 用推荐的环路滤波器元件将 PLL_FILT 接回 AVDD 4 AVDD2 P 模拟电源 将 AVDD2 连接到 3.3 V 模拟电源 5 AGND2 P 模拟地 6 PD/RST I 关断 / 复位 ( 低电平有效 ) 7 MCLKIN I 主时钟输入 8, 23 to 27, 30 NC 不连接 请勿连接到这些引脚 NC 引脚保持开路 9 SA_MODE I 独立模式 对于独立模式, 用 10 kω 上拉电阻将 SA_MODE 连接到 IOVDD 10 DVDD O 1.8 V 数字电源输出 通过 100 nf 和 10 µf 电容将 DVDD 去耦到 DGND 11 DGND P 数字地 12 IOVDD P 数字 I/O 电源 将 IOVDD 连接到 1.8 V 至 3.3 V 电源 13 SDATAOUT1 O ADC 串行数据输出对 1(ADC L1 和 ADC R1) 14 SDATAOUT2 O ADC 串行数据输出对 2(ADC L2 和 ADC R2) 15 LRCLK I/O ADC 串行端口的帧时钟 16 BCLK I/O ADC 串行端口的位时钟 17 SDA/COUT I/O 串行数据输入 / 输出 (I 2 C)/ 控制数据输出 (SPI) 18 SCL/CCLK I 串行时钟输入 (I 2 C)/ 控制数据输入 (SPI) 19 ADDR0/CLATCH I 芯片地址位 0 设置 (I 2 C)/ 控制数据的片选输入 (SPI) 20 ADDR1/CIN I 芯片地址位 1 设置 (I 2 C)/ 控制数据输入 (SPI) 21 AGND3 P 模拟地 22 AGND4 P 模拟地 28 AGND5 P 模拟地 29 AGND6 P 模拟地 Rev. 0 Page 8 of 44

9 引脚编号 引脚名称 1 类型 说明 31 AVDD3 P 模拟电源 将 AVDD3 连接到 3.3 V 模拟电源 32 AIN1 I 33 AIN1 I 34 AIN2 I 35 AIN2 I 36 AIN3 I 37 AIN3 I 38 AIN4 I 39 AIN4 I 40 AVDD1 P EP 1 P = 电源,O = 输出,I = 输入,I/O = 输入 / 输出 模拟输入通道 1 反相输入 模拟输入通道 1 同相输入 模拟输入通道 2 反相输入 模拟输入通道 2 同相输入 模拟输入通道 3 反相输入 模拟输入通道 3 同相输入 模拟输入通道 4 反相输入 模拟输入通道 4 同相输入 模拟电源 将 AVDD1 连接到 3.3 V 模拟电源 裸露焊盘 裸露焊盘必须连接到印刷电路板 (PCB) 上的接地层 Rev. 0 Page 9 of 44

10 典型性能参数 AMPLITUDE (dbfs) k 10k 20k FREQUENCY (Hz) 图 6. 快速傅里叶变换,4.5 mv 差分输入,f S = 48 khz CMRR (db) k 10k 20k FREQUENCY (Hz) 图 9. CMRR 差分输入, 以 450 mv 差分输入为基准 AMPLITUDE (dbfs) k 10k 20k FREQUENCY (Hz) 图 7. 快速傅里叶变换, 1 dbfs 差分输入 AMPLITUDE (dbfs) k 10k 20k FREQUENCY (Hz) 图 10. 快速傅里叶变换, 无输入 THD + N (dbfs) MAGNITUDE (db) m 10m 100m 1 5 INPUT LEVEL (V rms) FREQUENCY (Hz) 图 8. THD + N 与输入幅度的关系 图 11. f S = 48 khz 时的 ADC 通带纹波 Rev. 0 Page 10 of 44

11 MAGNITUDE (db) FREQUENCY (Hz) 图 12. f S = 48 khz 时的 ADC 滤波器阻带响应 Rev. 0 Page 11 of 44

12 工作原理概述 ADAU1979 集成四个高性能 ADC, 以及一个用于产生必要的片内时钟信号的锁相环 (PLL) 电路 电源和基准电压源 ADAU1979 采用 3.3 V 单电源供电 所有 AVDDx 引脚都应通过 100 nf 陶瓷芯片电容去耦到最近的 AGNDx, 去耦电容应尽可能靠近 AVDDx 引脚以最大程度地降低噪声拾取 在 ADC 所在的 PCB 上, 必须提供至少 10 μf 的铝电解体电容 为实现最佳性能, 模拟电源必须尽可能干净 数字内核的电源电压 (DVDD) 利用内部低压差调节器产生 典型 DVDD 输出为 1.8 V, 必须通过一个 100 nf 陶瓷电容和一个 10 µf 电容去耦 100 nf 陶瓷电容应尽可能靠近 DVDD 引脚放置 模拟模块的基准电压是在内部产生, 通过 VREF 引脚 ( 引脚 2) 输出 AVDDx 为 3.3 V 时,VREF 引脚的典型电压为 1.5 V 所有数字输入均兼容 TTL 和 CMOS 电平 所有输出均从 IOVDD 电源驱动 IOVDD 可以在 1.8 V 至 3.3 V 范围内 IOVDD 引脚必须通过一个尽可能靠近该引脚的 100 nf 电容去耦 内部 POR 电路具有迟滞, 确保 DVDD 上的短暂毛刺不会启动器件复位 典型跳变点为 1.2 V(PD/RST 高电平 ) 和 0.6 V ± 20%(PD/RST 低电平 ) 这可确保内核直到 DVDD 降至 0.6 V 跳变点以下才复位 一旦 PD/RST 引脚变为高电平, 内部调节器即开始为 DVDD 引脚上的 CEXT 电容充电 DVDD 充电时间取决于调节器的输出电阻和外部去耦电容 该时间常数可以通过下式计算 : tc = ROUT CEXT 其中,R OUT = 20 Ω( 典型值 ) 例如, 若 C EXT 为 10 µf, 则 t C 为 200 µs, 即达到 DVDD 电压 (63.6% 范围内 ) 所需的时间 当 DVDD 达到 1.2 V 时, 上电复位电路释放内核的内部复位信号 ( 见图 13) 因此, 发送 I 2 C 或 SPI 控制信号之前, 建议至少等待 t C 时间 AVDDx ADC 内部基准电压从 VREF 引脚输出, 必须通过并联的 100 nf 陶瓷电容和 10 µf 电容去耦 VREF 引脚的电流能力有限 该基准电压源用作 ADC 的基准源, 因此, 外部电路建议不要从该引脚吸取电流 使用该基准源时, 可利用一个同相放大缓冲器来为应用中的其他电路提供基准电压 PD/RST 1.2V t C DVDD (1.8V) t D t RESET 0.48V 在复位模式下,VREF 引脚禁用以节省功耗, 仅当 PD/RST 引脚变为高电平时使能 上电复位序列 ADAU1979 要求在 AVDDx 引脚上从外部提供 3.3 V 单电源 器件内部产生 DVDD (1.8 V), 用于 ADC 的数字内核 DVDD 电源输出引脚 ( 引脚 10) 用于将去耦电容连接到 DGND 去耦电容的典型推荐值为 100 nf, 与 10 µf 并联 复位期间, DVDD 调节器禁用以降低功耗 PD/RST 引脚 ( 引脚 6) 变为高电平后, 器件使能 DVDD 调节器 然而, 内部 ADC 和数字内核复位由内部上电复位 (POR) 信号电路控制, 它会监控 DVDD 电平 因此, 只有 DVDD 达到 1.2 V 且 POR 信号释放后, 器件才会离开复位状态 DVDD 建立时间取决于外部电容的充电时间和 AVDDx 上升斜坡时间 POR 图 13. 上电复位时序当先拉低再拉高 PD/RST 引脚 ( 引脚 6) 以对器件执行硬件复位时, 存在若干时间限制 在 PD/RST 低电平脉冲期间, DVDD 开始放电 放电时间常数由调节器的内部电阻和 C EXT 决定 DVDD 从 1.8 V 降至 0.48 V (0.6 V 20%) 所需的时间可通过下式估算 : td = 1.32 RINT CEXT 其中,R INT = 64 kω 典型值 (R INT 可能随工艺而变化, 误差范围 ±20%) 例如, 若 C EXT 为 10 µf, 则 t D 为 秒 根据 C EXT 不同,t D 可能不同, 进而影响 PD/RST 脉冲的最短保持时间 在全部 t D 时间内,PD/RST 脉冲必须保持低电平才能使内核正确初始化 Rev. 0 Page 12 of 44

13 在 C EXT 上增加一个电阻, 可减少所需的 PD/RST 低电平脉冲时间 新 t D 值计算如下 : td = 1.32 REQ CEXT 其中,R EQ = 64 kω R EXT 该电阻不仅可确保 DVDD 在复位或 AVDDx 失电期间快速放电, 而且能使内部模块正确复位 注意, 该电阻持续从 DVDD 吸取电流, 因而必然有一定的功率损失 C EXT 典型值为 10 µf,r EXT 典型值为 3 kω 因此, 时间常数为 : td = 1.32 REQ CEXT = 37.8 ms 其中,R EQ = kω (64 kω 3 kω) 对设定的 C EXT 值使用此公式, 便可计算 R EXT 以获得所需的 PD/RST 脉冲时间 还可以使用一个软件复位位 (S_RST, 寄存器 0x00 的位 7) 来复位器件, 但应注意, 在 AVDDx 失电期间, 软件复位可能无法确保正确初始化, 因为 DVDD 可能不稳定 +3.3V AVDD1 AVDD3 AVDD2 ADAU V TO 1.8V REGULATOR TO INTERNAL BLOCKS DVDD IOVDD C 0.1µF 图 14. DVDD 调节器输出连接 +1.8V OR +3.3V C EXT 10µF MLCC X7R C 0.1µF R EXT 3kΩ PLL 和时钟 ADAU1979 内置模拟 PLL 以便为内部 ADC 提供无抖动的主时钟 PLL 必须根据适当的输入时钟频率进行编程 PLL_CONTROL 寄存器 0x01 用于设置 PLL 寄存器 0x01 的 CLK_S 位 ( 位 4) 用于设置 PLL 的时钟源 时钟源可以是 MCLKIN 引脚或 LRCLK 引脚 ( 从模式 ) 在 LRCLK 模式下,PLL 支持 32 khz 到 192 khz 的采样速率 在 MCLK 输入模式下,MCS 位 ( 寄存器 0x01 的位 [2:0]) 必须设置为 MCLKIN 引脚需要的输入时钟频率 表 9 显示了大部分常用采样速率所需的输入主时钟频率和 MCS 位设置 寄存器 0x01 的 PLL_LOCK 位 ( 位 7) 指示 PLL 的锁定状态 建议在初始上电后读取 PLL 锁定状态, 确保 PLL 输出正确的频率后才取消音频输出静音 表 9. 常用采样频率所需的输入主时钟频率 MCS ( 位 [2:0]) fs (khz) 倍频系数 MCLKIN 频率 (MHz) fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs fs PLL 可接受音频帧时钟 ( 采样速率时钟 ) 作为输入, 但串行端口必须配置为从机, 帧时钟必须从主机提供给器件 强烈建议先禁用 PLL, 用新设置重新编程, 再重新使能 器件提供一个锁定位, 可通过 I 2 C 轮询, 检查 PLL 是否锁定 PLL 需要一个外部滤波器, 它连接在 PLL_FILT 引脚上 ( 引脚 3) MCLK 或 LRCLK 模式的建议 PLL 滤波电路如图 15 所示 为确保温度稳定性, 建议使用 NPO 电容 为实现最佳性能, 滤波器电容应靠近器件放置 AVDDx PLL_FILT 39nF 4.87kΩ LRCLK MODE 2.2nF AVDDx PLL_FILT 图 15. PLL 滤波器 5.6nF 1kΩ MCLK MODE 390pF Rev. 0 Page 13 of 44

14 模拟输入 ADAU1979 具有 4 路差分模拟输入 ADC 支持交流耦合和直 AINxP 32.17kΩ 14.3kΩ 流耦合输入信号 V REF 典型输入电路框图如图 16 所示 AINxN 32.17kΩ 多数音频应用中, 信号的直流成分通过耦合电容消除 然而,ADAU1979 采用独特的输入结构, 允许交流耦合输入信号 从各路输入到 AGNDx 的典型输入电阻约为 32 kω 在 48 khz 采样速率时, 高通滤波器具有 1.4 Hz 6 db/ 倍频 V ID = V INPUT DIFFERENTIAL V CM AT AINxP/AINxN = 1.5V 图 16. 模拟输入模块 14.3kΩ 程的截止频率 该截止频率与采样速率呈比例变化 然 而, 直流耦合应用必须确保共模直流电压不超过额定限 值 满量程 ADC 输出 (0 dbfs) 所需的输入通常为 4.5 V rms 差分 Rev. 0 Page 14 of 44

15 线路输入本部分说明 ADAU1979 支持线路电平输入的一些可能连接方法 线路输入平衡或差分输入直流耦合案例对于 4.5 V rms 差分输入信号和约 1.5 V 共模直流电压, 各输入引脚的信号具有 2.25 V rms 或 6.36 V p-p 信号摆幅 共模直流电压为 1.5 V 时, 各输入的信号可在 ( ) = 4.68 V 至 ( ) = 1.68 V 之间摆动 因此,AINx 和 AINx 上有大约 V p-p 差分电压,ADC 输出端测量值接近 0 dbfs ( 仅交流, 采用高通滤波器 )( 参见图 17) 线路输入平衡或差分输入交流耦合案例 有关将线路电平输入连接到 ADAU1979 的信息, 参见图 18 线路输入不平衡或单端伪差分交流耦合案例对于单端应用, 信号摆幅减半, 因为仅有一个输入用于信号, 另一个输入连接到 0 V 在单端应用中, 这样做会将输入信号能力降至 2.25 V rms,adc 输出端测量值约为 6.16 dbfs ( 仅交流, 采用直流高通滤波器 ) 更多信息参见图 19 C1/C2 的值与 线路输入平衡或差分输入交流耦合案例 部分所述的平衡交流耦合案例相似 为将 ADAU1979 连接到音响主机放大器输出端, 建议使用交流耦合 这种情况下,AINx/AINx 引脚的共模电平为 1.5 V 如果输入电平超过 4.5 V rms, 可利用衰减器将其降低 C1 和 C2 值可利用以下公式根据所需的低频截止确定 : C1 或 C2 = 1/(2 π fc 输入电阻 ) 其中,ADAU1979 的输入电阻典型值为 kω TYPICAL AUDIO POWER AMPLIFIER OUTPUT AINx AINx V DIFF = 4.5V rms AC V CM = 1.5V DC OPTION A: DIFFERENTIAL DC-COUPLED 图 17. 连接线路电平输入 差分直流耦合案例 TYPICAL AUDIO POWER AMPLIFIER OUTPUT ATTENUATOR C1 C2 AINx AINx V DIFF = 2V rms OPTION B: DIFFERENTIAL AC-COUPLED 图 18. 连接线路电平输入 差分交流耦合案例 TYPICAL AUDIO POWER AMPLIFIER OUTPUT C1 AINx C2 AINx V IN = 2V rms AC OPTION C: PSEUDO DIFFERENTIAL AC-COUPLED 图 19. 连接线路电平输入 伪差分交流耦合案例 Rev. 0 Page 15 of 44

16 模数转换器 ADAU1979 的 4 个 Σ-Δ ADC 通道配置为两个立体声对, 具有可配置的差分 / 单端输入 ADC 以 32 khz 到 192 khz 的标称采样速率工作 ADC 包括片上数字抗混叠滤波器, 其具有 79 db 阻带衰减和线性相位响应 数字输出通过两个串行数据输出引脚 ( 每个立体声对一个 ) 一个通用帧时钟 (LRCLK) 和一个位时钟 (BCLK) 提供 或者, 也可以使用 TDM 模式之一, 单条 TDM 数据线最多支持 16 个通道 使用幅度较小的输入信号时, 对各通道可提供 10 位可编程数字增益补偿, 以将输出字放大到满量程 必须注意避免过度补偿 ( 大增益补偿 ), 否则会导致 ADC 削波和 THD 性能降低 ADC 还有直流失调校准算法, 可消除 ADC 的系统性直流失调 此特性对直流测量应用有利 1 通道求和模式 SUM_MODE 位 ( 寄存器 0x0E 的位 [7:6]) 设为 10 时, 通道 1 至通道 4 ADC 数据合并, 从 SDATAOUT1 引脚输出 这样一来, SNR 提高 6 db 这种模式下, 所有四个通道必须连接到相同的输入信号源 TYPICAL STEREO OUTPUT OPTION B: DIFFERENTIAL AC-COUPLED V DIFF = 4.5V rms C1 AIN1 C2 AIN1 AIN2 AIN2 AIN3 AIN3 Σ ADC 求和模式四个 ADC 可分组为单个立体声 ADC 或单个单声道 ADC, 以便提高应用的信噪比 (SNR) 提供两种选项: 一是将 ADC 的两个通道求和, 一是 ADC 的所有四个通道求和 求和在数字模块中执行 2 通道求和模式 SUM_MODE 位 ( 寄存器 0x0E 的位 [7:6]) 设为 01 时, 通道 1 和通道 2 ADC 数据合并, 从 SDATAOUT1 引脚输出 类似地, 通道 3 和通道 4 ADC 数据合并, 从 SDATAOUT2 引脚输出 这样一来,SNR 提高 3 db 这种模式下, 通道 1 和通道 2 必须连接到相同的输入信号源 类似地, 通道 3 和通道 4 也必须连接到相同的输入信号源 AIN4 AIN4 图 通道求和模式连接图 TYPICAL STEREO OUTPUT OPTION B: DIFFERENTIAL AC-COUPLED V DIFF = 4.5V rms C1 AIN1 C2 AIN1 AIN2 AIN2 Σ C3 C4 AIN3 AIN3 AIN4 AIN4 Σ 图 通道求和模式连接图 Rev. 0 Page 16 of 44

17 串行音频数据输出端口 数据格式串行音频端口包括 4 个引脚 :BCLK LRCLK SDATAOUT1 和 SDATAOUT2 ADAU1979 ADC 输出以串行格式在 SDATAOUT1 和 SDATAOUT2 引脚上提供 BCLK 和 LRCLK 引脚分别用作位时钟和帧时钟 该端口可以用作主机或从机, 并且可以设置为立体声模式 (2 通道模式 ) 或 TDM 多通道模式 支持常见音频格式 :I 2 S 左对齐(LJ) 和右对齐 (RJ) 立体声模式在 2 通道或立体声模式下,SDATAOUT1 输出通道 1 和通道 2 的 ADC 数据,SDATOUT2 输出通道 3 和通道 4 的 ADC 数据 图 22 至图 24 显示了支持的音频格式 BCLK LRCLK SDATAOUT1 (I 2 S MODE) SDATAOUT2 (I 2 S MODE) CHANNEL 1 CHANNEL 2 8 TO 32 BCLKs 8 TO 32 BCLKs CHANNEL 3 CHANNEL 4 NOTES 1. SAI = SDATA_FMT = 0 (I 2 S). 图 22. I 2 S 音频格式 BCLK LRCLK SDATAOUT1 (LJ MODE) CHANNEL 1 CHANNEL 2 SDATAOUT2 (LJ MODE) NOTES 1. SDATA_FMT = 1 (LJ). CHANNEL 3 CHANNEL 4 图 23. 左对齐音频格式 BCLK LRCLK SDATAOUT1 (RJ MODE) CHANNEL 1 CHANNEL 2 SDATAOUT2 (RJ MODE) NOTES 1. SDATA_FMT = 2 (RJ, 24-BIT). CHANNEL 3 CHANNEL 4 图 24. 右对齐音频格式 Rev. 0 Page 17 of 44

18 TDM 模式寄存器 0x05 至寄存器 0x08 提供 TDM 模式编程功能 TDM 间隔间隔宽度 数据宽度 通道分配和用于输出数据的引脚均可编程 默认情况下, 串行数据在 SDATAOUT1 引脚上输出, 但可利用 SDATA_SEL 位 ( 寄存器 0x06 的位 7) 改变设置, 使串行数据从 SDATAOUT2 引脚输出 TDM 模式支持 或 16 个通道 ADAU1979 在分配的间隔间隔中输出 4 通道数据 ( 图 27 显示了 TDM 模式间隔间隔 分配 ) 在未使用的间隔间隔中, 输出引脚变为高阻态, 因而同一数据线可与 TDM 总线上的其他器件共享 TDM 端口可以作为主机或从机工作 在主模式下,BCLK 和 LRCLK 引脚从 ADAU1979 输出, 而在从模式下,BCLK 和 LRCLK 引脚设置为接收系统主机提供的时钟 支持非脉冲和脉冲模式 在非脉冲模式下,LRCLK 信号占空比通常为 50%, 而在脉冲模式下,LRCLK 信号至少必须为一个 BCLK 宽 ( 参见图 25 和图 26) BCLK 32/24/16 BCLKs 32/24/16 BCLKs 32/24/16 BCLKs LRCLK SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA LJ 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 24 OR 16 BCLKs 24 OR 16 BCLKs 24 OR 16 BCLKs NOTES 1. SAI = 001 (2 CHANNELS), 010 (4 CHANNELS), 011 (8 CHANNELS), 100 (16 CHANNELS). 2. SDATA_FMT = 00 (I 2 S), 01 (LJ), 10 (RJ, 24-BIT), 11 (RJ, 16-BIT). 3. BCLK_EDGE = LR_MODE = SLOT_WIDTH = 00 (32 BCLKs), 01 (24 BCLKs), 10 (16 BCLKs). 图 25. TDM 非脉冲模式音频格式 BCLK 32/24/16 BCLKs 32/24/16 BCLKs 32/24/16 BCLKs LRCLK SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA LJ 8 TO 32 BCLKs 8 TO 32 BCLKs 8 TO 32 BCLKs SDATA I 2 S CHANNEL 1 CHANNEL 2 CHANNEL N 24 OR 16 BCLKs 24 OR 16 BCLKs 24 OR 16 BCLKs NOTES 1. SAI = 001 (2 CHANNELS), 010 (4 CHANNELS), 011 (8 CHANNELS), 100 (16 CHANNELS) 2. SDATA_FMT = 00 (I 2 S), 01 (LJ), 10 (RJ, 24-BIT), 11 (RJ, 16-BIT) 3. BCLK_EDGE = 0 4. LR_MODE = 1 5. SLOT_WIDTH = 00 (32 BCLKs), 01 (24 BCLKs), 10 (16 BCLKs) 图 26. TDM 脉冲模式音频格式 Rev. 0 Page 18 of 44

19 LRCLK BCLK NUMBER OF BCLK CYCLES = (NUMBER OF BCLKs/SLOT) NUMBER OF SLOTS SDATAOUTx TDM2 SLOT1 SLOT2 SDATAOUTx TDM4 SLOT1 SLOT2 SLOT3 SLOT4 SDATAOUTx TDM8 SLOT1 SLOT2 SLOT3 SLOT4 SLOT5 SLOT6 SLOT7 SLOT8 SDATAOUTx TDM16 SLOT1 SLOT2 SLOT3 SLOT4 SLOT5 SLOT6 SLOT7 SLOT8 SLOT9 SLOT10 SLOT11 SLOT12 SLOT13 SLOT14 SLOT15 SLOT16 HIGH-Z DATA WIDTH 16/24 BITS HIGH-Z SLOT WIDTH 16/24/32 BITS 图 27. TDM 模式间隔分配 表 10. TDM 模式位时钟频率 BCLK 频率 模式 间隔每间隔 16 个位时钟 每间隔 24 个位时钟 每间隔 32 个位时钟 TDM2 32 fs 48 fs 64 fs TDM4 64 fs 96 fs 128 fs TDM8 128 fs 192 fs 256 fs TDM fs 384 fs 512 fs 位时钟频率取决于采样速率 间隔宽度和每间隔的位时钟数 使用表 10 计算 BCLK 频率 采样速率 (f S ) 范围是 8 khz 到 192 khz 但在主模式下, 最大位时钟频率 (BCLK) 为 MHz 例如, 对于 192 khz 的采样速率,128 x f S 就是最大可能的 BCLK 频率 因此, 每个 TDM 帧仅有 128 个位时钟周期可用 这种情况下有两个选 项 : 以 32 位数据宽度在 TDM4 模式下工作或以 16 位数据宽度在 TDM8 模式下工作 从模式下不存在这一限制, 因为位时钟和帧时钟是由主机提供给 ADAU1979 可以使用 BCLK 频率和模式的各种组合, 但必须注意, 应当选择最适合应用的组合 Rev. 0 Page 19 of 44

20 连接选项图 28 至图 32 显示了 I 2 S 或 TDM 模式下连接串行音频端口的可用选项 在 TDM 模式下, 建议在数据信号上包括下拉电阻, 以防止 ADAU1979 的 SDATAOUTx 引脚在非活动期间变为高阻态时线路悬空 所选电阻值应确保从 SDATAOUTx 引脚吸取的电流不超过 2 ma 该电阻值一般在 10 kω 到 47 kω 范围内, 具体使用何值取决于数据总线上的期间 MASTER ADAU1979 BCLK LRCLK SDATAOUT1 SDATAOUT2 SLAVE DSP 图 28. 串行端口连接选项 1 I 2 S/ 左对齐 / 右对齐模式, ADAU1979 主机 SLAVE ADAU1979 MASTER DSP SLAVE ADAU1979 BCLK LRCLK SDATAOUTx MASTER ADAU1979 OR SIMILAR ADC BCLK LRCLK SDATAOUTx SLAVE DSP 图 31. 串行端口连接选项 4 TDM 模式, 第二 ADC 主机 SLAVE ADAU1979 BCLK LRCLK SDATAOUTx MASTER DSP BCLK LRCLK SDATAOUT1 SDATAOUT2 图 29. 串行端口连接选项 2 I 2 S/ 左对齐 / 右对齐模式, ADAU1979 从机 MASTER ADAU1979 BCLK LRCLK SDATAOUTx SLAVE DSP SLAVE ADAU1979 OR SIMILAR ADC BCLK LRCLK SDATAOUTx 图 32. 串行端口连接选项 5 TDM 模式,DSP 主机 SLAVE ADAU1979 OR SIMILAR ADC BCLK LRCLK SDATAOUTx 图 30. 串行端口连接选项 3 TDM 模式,ADAU1979 主机 Rev. 0 Page 20 of 44

21 控制端口 ADAU1979 控制端口支持两种工作模式 2 线 I 2 C 模式或 4 线 SPI 模式, 用于设置器件的内部寄存器 I 2 C 和 SPI 模式均 允许读写寄存器 全部寄存器均为 8 位宽 寄存器起始地 址为 0x00, 结束地址为 0x1A I 2 C 和 SPI 模式下的控制端口均只能用作从机, 需要系统中的主机才能工作 访问寄存器时, 器件有无主时钟无关紧要 但是, 操作 PLL 串行音频端口和升压转换器时, 主时钟必须存在 默认情况下,ADAU1979 工作在 I 2 C 模式, 但通过将 CLATCH 引脚拉低三次, 就可以将器件置于 SPI 模式 控制端口引脚是多功能引脚, 具体功能取决于器件的工作模式 表 12 说明了两种模式下控制端口引脚的功能 I 2 C 模式 ADAU1979 支持 2 线串行 (I 2 C 兼容 ) 总线协议 两个引脚 串行数据 (SDA) 和串行时钟 (SCL) 用于与系统 I 2 C 主控制器通信 在 I 2 C 模式下,ADAU1979 始终是总线上的从机, 意味着它不能启动数据传输 I 2 C 总线上的每个从机都通过一个唯一的器件地址识别 ADAU1979 的器件地址和 R/W 字节如表 11 所示 地址存在于 I 2 C 写操作的前 7 位 ADAU1979 I 2 C 地址的位 7 和位 6 由 ADDR1 和 ADDR0 引脚上的电平设置 来自主机的第一个 I 2 C 字节的 LSB(R/W 位 ) 说明是读操作还是写操作 LSB( 位 0) 为逻辑电平 1 对应于读操作, 逻辑电平 0 对应于写操作 表 11. I 2 C 首字节格式 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 0 ADDR1 ADDR R/W 使用 ADDR1 和 ADDR0 引脚, 可将 7 位 I 2 C 器件地址设置为以下四个可能的选项之一 : I 2 C 器件地址 (0x11) I 2 C 器件地址 (0x31) I 2 C 器件地址 (0x51) I 2 C 器件地址 (0x71) 在 I 2 C 模式下,SDA 和 SCL 引脚要求将一个合适的上拉电阻连接到 IOVDD 确保这些信号线上的电压不超过 IOVDD 引脚上的电压 图 44 显示了 I 2 C 模式的典型连接图 SDA 或 SCL 引脚的上拉电阻值计算如下 : 最小 R PULL UP = (IOVDD VIL)/ISINK 其中 : IOVDD 是 I/O 电源电压, 典型范围是 1.8 V 到 3.3 V V IL 是逻辑电平 0 的最大电压 ( 即 0.4 V, 根据 I 2 C 规范 ) I SINK 是 I/O 引脚的吸电流能力 SDA 可以吸收 2 ma 电流, 因此, 对于 3.3 V 的 IOVDD,R PULL UP 最小值为 1.5 kω 根据印刷电路板上的电容不同, 可以限制总线速度以满足上升时间和下降时间要求 对于比特率约为 1 Mbps 的快速模式, 上升时间必须小于 550 ns 使用下式判断是否能够满足上升时间要求: t = RPULL UP CBOARD 要满足 300 ns 上升时间要求,C BOARD 必须小于 236 pf 对于 SCL 引脚, 计算取决于系统所用 I 2 C 主机的吸电流能力 ADAU1979 的 I 2 C 芯片地址的前 7 位是 xx10001 通过 ADDR1 和 ADDR0 引脚设置该地址字节的位 7 和位 6, 以便将芯片地址设置为所需的值 表 12: 控制端口引脚功能 I 2 C 模式 SPI 模式 引脚编号 引脚名称 引脚功能 引脚类型 引脚功能 引脚类型 17 SDA/COUT SDA 数据 I/O COUT 数据 O 18 SCL/CCLK SCL 时钟 I CCLK 时钟 I 19 ADDR0/CLATCH I 2 C 器件地址位 0 I CLATCH 片选 I 20 ADDR1/CIN I 2 C 器件地址位 1 I CIN 数据 I Rev. 0 Page 21 of 44

22 寻址开始时,I 2 C 总线上的各器件均处于空闲状态, 并监控 SDA 和 SCL 线有无起始条件和适当的地址 I 2 C 主机通过建立起始条件而启动数据传输 ; 起始条件要求 SDA 发生高低转换, 同时 SCL 保持高电平 这表示随后将出现地址 / 数据流 总线上的所有器件都对起始条件做出响应, 并以 MSB 优先方式从主机获取接下来的 8 个位 (7 位地址加 R/W 位 ) 主机向总线上的所有从机发送 7 位器件地址和 R/W 位 在第 9 个时钟脉冲期间, 具有匹配地址的器件通过将数据线 (SDA) 拉低来做出响应 此第 9 位称为应答位 此时, 所有其它器件从总线退出, 返回空闲状态 R/W 位决定数据的方向 如果第一个字节的 LSB 为逻辑 0, 则意味着主机将写入信息到从机, 而逻辑 1 则意味着主机将在写入地址并重复起始地址之后读取从机信息 数据传输将持续到主机发出停止条件为止 停止条件是指在 SCL 处于高电平时,SDA 上发生低电平至高电平跃迁 数据传输过程中的任何阶段都可以检测停止和起始条件 如果这些条件的置位打破了正常的读写操作顺序, ADAU1979 将立即跳到空闲状态 图 33 和图 34 使用了以下缩写 : ACK = 应答 No ACK = 不应答 SCL FIRST BYTE (DEVICE ADDRESS) SECOND BYTE (REGISTER ADDRESS) THIRD BYTE (DATA) SDA ADDR1 ADDR START R/W ACK ADAU1979 ACK ADAU1979 STOP 图 33. I 2 C 写入 ADAU1979( 单字节 ) SCL FIRST BYTE (DEVICE ADDRESS) SECOND BYTE (REGISTER ADDRESS) SDA ADDR1 ADDR R/W START ACK ADAU1979 ACK ADAU SCL THIRD BYTE (DEVICE ADDRESS) DATA BYTE FROM ADAU1979 SDA ADDR1 ADDR REPEAT START R/W ACK ADAU1979 NO ACK STOP 图 34. I 2 C 读取 ADAU1979( 单字节 ) Rev. 0 Page 22 of 44

23 I 2 C 读和写操作图 35 给出了单字 I 2 C 写操作的格式 在每第 9 个时钟脉冲, ADAU1979 都会通过拉低 SDA 来发送应答 图 36 给出了突发模式 I 2 C 写序列的格式 该图显示了一个顺次写入单字节寄存器的例子 ADAU1979 在写完一个字节后即递增其地址寄存器, 因为请求的地址对应于 1 字节字长的寄存器或存储器区域 图 37 给出了单字 I 2 C 读操作的格式 注意第一个 R/W 位为 0, 表示写操作 这是因为仍然需要写入地址, 以便设置内部地址 在 ADAU1979 确认接收到地址后, 主机必须发送一个重复起始命令, 然后再发送 R/W 位设置为 1( 表示读操作 ) 的芯片地址字节 这将导致 ADAU1979 SDA 反向, 并开始向主机回传数据 然后, 主机在每第 9 个脉冲做出响应, 向 ADAU1979 发送应答脉冲 图 38 给出了突发模式 I 2 C 读序列的格式 该图显示了一个顺次读取单字节寄存器的例子 ADAU1979 使用 8 位寄存器地址, 因此每个字节后都会递增其地址寄存器 图 35 至图 38 使用了以下缩写 : S = 起始位 P = 停止位 AM = 主机应答 AS = 从机应答 S CHIP ADDRESS, R/W = 0 AS REGISTER ADDRESS 8 BITS AS DATA BYTE P 图 35. 单字 I 2 C 写格式 S CHIP ADDRESS, R/W = 0 AS REGISTER CHIP AS DATA AS ADDRESS ADDRESS, BYTE 1 8 BITS R/W = 0 DATA BYTE 2 AS DATA AS DATA AS... P BYTE 3 BYTE 图 36. 突发模式 I 2 C 写格式 S CHIP ADDRESS, R/W = 0 AS REGISTER AS S CHIP AS DATA P ADDRESS ADDRESS, BYTE 1 8 BITS R/W = 图 37. 单字 I 2 C 读格式 S CHIP ADDRESS, R/W = 0 AS REGISTER AS S CHIP AS DATA AM DATA ADDRESS ADDRESS, BYTE 1 BYTE 2 8 BITS R/W = 1 AM... P 图 38. 突发模式 I 2 C 读格式 Rev. 0 Page 23 of 44

24 SPI 模式 ADAU1979 默认采用 I 2 C 模式 要调用 SPI 控制模式, 应将 CLATCH 拉低三次 这可以通过对 SPI 端口执行三个伪写操作来实现 (ADAU1979 不会应答这些操作, 参见图 39) 从第四个 SPI 写操作开始, 器件可以读写数据 要使 ADAU1979 离开 SPI 模式, 必须通过周期供电启动全面复位过程 SPI 端口使用 4 线接口, 包括 CLATCH CCLK CIN 和 COUT 信号, 始终是一个从机端口 CLATCH 信号在处理开始时变为低电平, 在处理结束时应变为高电平 CCLK 信号在低高转换时锁存 COUT COUT 数据在 CCLK 下降沿移出 ADAU1979, 并在 CCLK 上升沿输入一个接收器件, 如微控制器等 CIN 信号承载串行输入数据,COUT 信号承载串行输出数据 在请求执行读操作之前,COUT 信号处于三态 这样就可以直接连接到其他 SPI 兼容外设的 COUT 端口, 以共享同一系统控制器端口 所有 SPI 处理都具有表 15 所示的相同基本通用控制字格式 时序图见图 3 所有数据都以 MSB 优先方式写入 芯片地址 R/W SPI 处理的第一个字节的 LSB 为 R/W 位 此位决定通信是读操作 ( 逻辑电平 1) 还是写操作 ( 逻辑电平 0) 表 13 显示了其格式 表 13. SPI 地址和 R/W 字节格式 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 R/W 寄存器地址 8 位地址字解码为一个寄存器的位置 此地址即为相应寄存器的位置 数据字节数据字节数取决于所访问的寄存器 在突发模式 SPI 写入中, 初始寄存器地址之后是连续的数据序列, 以供写入连续的寄存器位置 图 40 给出了对一个寄存器执行单字 SPI 写操作的示例时序图 图 41 给出了单字 SPI 读操作的示例时序图 在字节 3 开始时,COUT 引脚从高阻态变为高电平 本例中, 字节 0 至字节 1 包含器件地址 R/W 位以及要读取的寄存器地址 后续字节承载器件的数据 独立模式 ADAU1979 也可以在独立模式下工作 不过, 在独立模式下, 升压转换器 麦克风偏置和诊断模块均关断 要将器件置于独立模式, 应将 SA_MODE 引脚拉至 IOVDD 这种模式下, 某些引脚的功能发生改变以提供更大的灵活性 ( 更多信息参见表 14) 表 14. 独立模式下的引脚功能 1 引脚功能 设置 说明 ADDR0 0 I 2 S SAI 格式 1 TDM 模式, 由 SDATAOUT2 引脚决定 ADDR1 0 主模式 SAI 1 从模式 SAI SDA 0 MCLK = 256 x f S,PLL 开启 1 MCLK = 384 x f S,PLL 开启 SCL 0 48 khz 采样速率 1 96 khz 采样速率 SDATAOUT2 0 TDM4 LRCLK 脉冲 1 TDM8 LRCLK 脉冲 1 所列为引脚功能, 而非引脚完整名称 更多信息参见表 12 表 15. 通用控制字格式 字节 0 字节 1 字节 2 组合 3 1 器件地址 [6:0],R/W 寄存器地址 [7:0] 数据 [7:0] 数据 [7:0] 1 持续到数据结束 Rev. 0 Page 24 of 44

25 CLATCH CCLK CIN 图 39. SPI 模式初始序列 CLATCH CCLK CIN DEVICE ADDRESS (7 BITS) R/W REGISTER ADDRESS BYTE DATA BYTE 图 40. SPI 写入 ADAU1979 的时序 ( 单字写模式 ) CCLK CLATCH CIN COUT DEVICE ADDRESS (7 BITS) R/W REGISTER ADDRESS BYTE DATA BYTE DATA BYTE FROM ADAU 图 41. SPI 读取 ADAU1979 的时序 ( 单字读模式 ) CLATCH CCLK CIN DEVICE ADDRESS BYTE REGISTER ADDRESS BYTE DATA BYTE1 DATA BYTE2 DATA BYTE n 1 DATA BYTE n 图 42. SPI 写入 ADAU1979( 多字节 ) CLATCH CCLK CIN DEVICE ADDRESS BYTE REGISTER ADDRESS BYTE COUT DATA BYTE1 DATA BYTE2 DATA BYTE3 DATA BYTE n 1 DATA BYTE n 图 43. SPI 读取 ADAU1979( 多字节 ) Rev. 0 Page 25 of 44

26 寄存器汇总 表 16. REGMAP_ADAU1979 寄存器汇总 寄存器 名称 位 位 7 位 6 位 5 位 4 位 3 位 2 位 1 位 0 复位 RW 0x00 M_POWER [7:0] S_RST RESERVED PWUP 0x00 RW 0x01 PLL_CONTROL [7:0] PLL_LOCK PLL_MUTE RESERVED CLK_S RESERVED MCS 0x41 RW 0x02 RESERVED [7:0] RESERVED Reserved Reserved 0x03 RESERVED [7:0] RESERVED Reserved Reserved 0x04 BLOCK_POWER_SAI [7:0] LR_POL BCLKEDGE LDO_EN VREF_EN ADC_EN4 ADC_EN3 ADC_EN2 ADC_EN1 0x3F RW 0x05 SAI_CTRL0 [7:0] SDATA_FMT SAI FS 0x02 RW 0x06 SAI_CTRL1 [7:0] SDATA_SEL SLOT_WIDTH DATA_WIDTH LR_MODE SAI_MSB BCLKRATE SAI_MS 0x00 RW 0x07 SAI_CMAP12 [7:0] CMAP_C2 CMAP_C1 0x10 RW 0x08 SAI_CMAP34 [7:0] CMAP_C4 CMAP_C3 0x32 RW 0x09 SAI_OVERTEMP [7:0] SAI_DRV_C4 SAI_DRV_C3 SAI_DRV_C2 SAI_DRV_C1 DRV_HIZ RESERVED RESERVED OT 0xF0 RW 0x0A POSTADC_GAIN1 [7:0] PADC_GAIN1 0xA0 RW 0x0B POSTADC_GAIN2 [7:0] PADC_GAIN2 0xA0 RW 0x0C POSTADC_GAIN3 [7:0] PADC_GAIN3 0xA0 RW 0x0D POSTADC_GAIN4 [7:0] PADC_GAIN4 0xA0 RW 0x0E MISC_CONTROL [7:0] SUM_MODE RESERVED MMUTE RESERVED DC_CAL 0x02 RW 0x0F RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED 0xFF RW 0x10 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED 0x0F RW 0x11 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x00 RW 0x12 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x00 RW 0x13 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x00 RW 0x14 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x00 RW 0x15 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x20 RW 0x16 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 0x00 RW 0x17 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED 保留 保留 0x18 RESERVED [7:0] RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED 保留 保留 0x19 ASDC_CLIP [7:0] RESERVED ADC_CLIP4 ADC_CLIP3 ADC_CLIP2 ADC_CLIP1 0x00 RW 0x1A DC_HPF_CAL [7:0] DC_SUB_C4 DC_SUB_C3 DC_SUB_C2 DC_SUB_C1 DC_HPF_C4 DC_HPF_C3 DC_HPF_C2 DC_HPF_C1 0x00 RW Rev. 0 Page 26 of 44

27 寄存器详解主电源和软件复位寄存器 地址 :0x00; 复位 :0x00; 名称 : M_POWER 电源管理控制寄存器用于使能升压调节器 麦克风偏置 PLL 带隙基准电压源 ADC 和 LDO 调节器 表 17. M_POWER 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 S_RST 软件复位 软件复位将复位所有内部电路, 并将所有控制寄存器置于默认状态 上 0x0 RW 电或关断周期中无必要复位 ADAU 正常工作 1 软件复位 [6:1] RESERVED 保留 0x00 RW 0 PWUP 主机上电控制 主机上电控制使 ADAU1979 完全上电或完全关断 要使 ADAU1979 上 0x0 RW 电, 此位必须设为 1 各模块可通过相应的电源控制寄存器关断 0 完全关断 1 主机上电 Rev. 0 Page 27 of 44

28 PLL 控制寄存器 地址 :0x01; 复位 :0x41; 名称 : PLL_CONTROL 表 18. PLL_CONTROL 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 PLL_LOCK PLL 锁定状态 PLL 锁定状态位 置 1 时,PLL 锁定 0x0 R 0 PLL 未锁定 1 PLL 已锁定 6 PLL_MUTE PLL 未锁定自动静音 设为 1 时, 若 PLL 解除锁定, 则 ADC 输出静音 0x1 RW 0 PLL 未锁定时无自动静音 1 PLL 未锁定时自动静音 5 RESERVED 保留 0x0 RW 4 CLK_S PLL 时钟源选择 选择 PLL 的输入时钟源 0x0 RW 0 MCLK 用于 PLL 输入 1 LRCLK 用于 PLL 输入 ; 仅支持 32 khz 至 192 khz 的采样速率 3 RESERVED 保留 0x0 RW [2:0] MCS 主时钟选择 MCS 位决定 PLL 的倍频系数 必须根据输入 MCLK 频率和采样速率设置 0x1 RW x f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 和时钟部分 ) x f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 和时钟部分 ) x f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 和时钟部分 ) x f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 和时钟部分 ) x f S MCLK(32 khz 至 48 khz, 其他采样速率参见 PLL 和时钟部分 ) 101 保留 110 保留 111 保留 Rev. 0 Page 28 of 44

29 模块电源控制和串行端口控制寄存器 地址 :0x04; 复位 :0x3F; 名称 : BLOCK_POWER_SAI 表 19. BLOCK_POWER_SAI 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 LR_POL 设置 LRCLK 极性 0x0 RW 0 LRCLK 先低后高 1 LRCLK 先高后低 6 BCLKEDGE 设置数据改变的位时钟边沿 0x0 RW 0 数据在下降沿改变 1 数据在上升沿改变 5 LDO_EN LDO 调节器使能 0x1 RW 0 LDO 关断 1 LDO 使能 4 VREF_EN 基准电压源使能 0x1 RW 0 基准电压源关断 1 基准电压源使能 3 ADC_EN4 ADC 通道 4 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 2 ADC_EN3 ADC 通道 3 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 1 ADC_EN2 ADC 通道 2 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 0 ADC_EN1 ADC 通道 1 使能 0x1 RW 0 ADC 通道关断 1 ADC 通道使能 Rev. 0 Page 29 of 44

30 串行端口控制寄存器 1 地址 :0x05; 复位 :0x02; 名称 : SAI_CTRL0 表 20. SAI_CTRL0 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:6] SDATA_FMT 串行数据格式 0x0 RW 00 I 2 S 数据相对于 LRCLK 边沿延迟 1 BCLK 01 左对齐 10 右对齐,24 位数据 11 右对齐,16 位数据 [5:3] SAI 串行端口模式 0x0 RW 000 立体声 (I 2 S LJ RJ) 001 TDM2 010 TDM4 011 TDM8 100 TDM16 [2:0] FS 采样速率 0x2 RW khz 至 12 khz khz 至 24 khz khz 至 48 khz khz 至 96 khz khz 至 192 khz Rev. 0 Page 30 of 44

31 串行端口控制寄存器 2 地址 :0x06; 复位 :0x00; 名称 : SAI_CTRL1 表 21. SAI_CTRL1 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 SDATA_SEL TDM4 或更大模式下的 SDATAOUTx 引脚选择 0x0 RW 0 SDATAOUT1 用于输出 1 SDATAOUT2 用于输出 [6:5] SLOT_WIDTH TDM 模式下每个间隔的 BCLK 数 0x0 RW 00 每个 TDM 间隔 32 个 BCLK 01 每个 TDM 间隔 24 个 BCLK 10 每个 TDM 间隔 16 个 BCLK 11 保留 4 DATA_WIDTH 输出数据位宽度 0x0 RW 0 24 位数据 1 16 位数据 3 LR_MODE 设置 LRCLK 模式 0x0 RW 0 50% 占空比时钟 1 脉冲 LRCLK 为单 BCLK 周期宽脉冲 2 SAI_MSB 设置数据以 MSB 或 LSB 优先方式输入 / 输出 0x0 RW 0 MSB 优先数据 1 LSB 优先数据 1 BCLKRATE 设置主模式下产生的每个数据通道的位时钟周期数 0x0 RW 0 每通道 32 个 BCLK 1 每通道 16 个 BCLK 0 SAI_MS 设置串行端口为主模式或从模式 0x0 RW 0 LRCLK/BCLK 从机 1 LRCLK/BCLK 主机 Rev. 0 Page 31 of 44

32 输出串行端口通道 1 和通道 2 映射寄存器 地址 :0x07; 复位 :0x10; 名称 : SAI_CMAP12 表 22. SAI_CMAP12 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:4] CMAP_C2 ADC 通道 2 输出映射 0x1 RW 0000 通道的间隔 通道的间隔 通道的间隔 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的间隔 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的间隔 5( 仅 TDM8+) 0101 通道的间隔 6( 仅 TDM8+) 0110 通道的间隔 7( 仅 TDM8+) 0111 通道的间隔 8( 仅 TDM8+) 1000 通道的间隔 9( 仅 TDM16) 1001 通道的间隔 10( 仅 TDM16) 1010 通道的间隔 11( 仅 TDM16) 1011 通道的间隔 12( 仅 TDM16) 1100 通道的间隔 13( 仅 TDM16) 1101 通道的间隔 14( 仅 TDM16) 1110 通道的间隔 15( 仅 TDM16) 1111 通道的间隔 16( 仅 TDM16) Rev. 0 Page 32 of 44

33 位 位名称 设置 说明 复位 访问类型 [3:0] CMAP_C1 ADC 通道 1 输出映射 如果将 CMAP 设置为一个对给定串行模式而言不存在的间隔, 则不会驱动该通道 例如, 若 CMAP 设置为间隔 9 且串行格式为 I 2 S, 则不会驱动该通道 如果将多个通道设置为同一间隔, 则仅驱动编号最低的通道, 而不会驱动其他通道 0x0 RW 0000 通道的间隔 通道的间隔 通道的间隔 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的间隔 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的间隔 5( 仅 TDM8+) 0101 通道的间隔 6( 仅 TDM8+) 0110 通道的间隔 7( 仅 TDM8+) 0111 通道的间隔 8( 仅 TDM8+) 1000 通道的间隔 9( 仅 TDM16) 1001 通道的间隔 10( 仅 TDM16) 1010 通道的间隔 11( 仅 TDM16) 1011 通道的间隔 12( 仅 TDM16) 1100 通道的间隔 13( 仅 TDM16) 1101 通道的间隔 14( 仅 TDM16) 1110 通道的间隔 15( 仅 TDM16) 1111 通道的间隔 16( 仅 TDM16) Rev. 0 Page 33 of 44

34 输出串行端口通道 3 和通道 4 映射寄存器 地址 :0x08; 复位 :0x32; 名称 : SAI_CMAP34 表 23. SAI_CMAP34 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:4] CMAP_C4 ADC 通道 4 输出映射 0x3 RW 0000 通道的间隔 通道的间隔 通道的间隔 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的间隔 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的间隔 5( 仅 TDM8+) 0101 通道的间隔 6( 仅 TDM8+) 0110 通道的间隔 7( 仅 TDM8+) 0111 通道的间隔 8( 仅 TDM8+) 1000 通道的间隔 9( 仅 TDM16) 1001 通道的间隔 10( 仅 TDM16) 1010 通道的间隔 11( 仅 TDM16) 1011 通道的间隔 12( 仅 TDM16) 1100 通道的间隔 13( 仅 TDM16) 1101 通道的间隔 14( 仅 TDM16) 1110 通道的间隔 15( 仅 TDM16) 1111 通道的间隔 16( 仅 TDM16) Rev. 0 Page 34 of 44

35 位 位名称 设置 说明 复位 访问类型 [3:0] CMAP_C3 ADC 通道 3 输出映射 0x2 RW 0000 通道的间隔 通道的间隔 通道的间隔 3( 立体声模式下的 SDATAOUT2 上 ) 0011 通道的间隔 4( 立体声模式下的 SDATAOUT2 上 ) 0100 通道的间隔 5( 仅 TDM8+) 0101 通道的间隔 6( 仅 TDM8+) 0110 通道的间隔 7( 仅 TDM8+) 0111 通道的间隔 8( 仅 TDM8+) 1000 通道的间隔 9( 仅 TDM16) 1001 通道的间隔 10( 仅 TDM16) 1010 通道的间隔 11( 仅 TDM16) 1011 通道的间隔 12( 仅 TDM16) 1100 通道的间隔 13( 仅 TDM16) 1101 通道的间隔 14( 仅 TDM16) 1110 通道的间隔 15( 仅 TDM16) 1111 通道的间隔 16( 仅 TDM16) 串行输出驱动控制和过温保护状态寄存器 地址 :0x09; 复位 :0xF0; 名称 : SAI_OVERTEMP 表 24. SAI_OVERTEMP 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 SAI_DRV_C4 通道 4 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 Slot determined by CMAP_C4. Rev. 0 Page 35 of 44

36 位 位名称 设置 说明 复位 访问类型 6 SAI_DRV_C3 通道 3 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 间隔由 CMAP_C3 决定 5 SAI_DRV_C2 通道 2 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 间隔由 CMAP_C2 决定 4 SAI_DRV_C1 通道 1 串行输出驱动使能 0x1 RW 0 通道不在串行输出端口上驱动 1 通道在串行输出端口上驱动 间隔由 CMAP_C1 决定 3 DRV_HIZ 选择是让不用的 SAI 通道处于三态还是积极驱动这些数据间隔 0x0 RW 0 不用的输出驱动到低电平 1 不用的输出处于高阻态 [2:1] 保留 保留 0x0 R 0 OT 过温状态 0x0 R 0 正常工作 1 过温故障 后置 ADC 增益通道 1 控制寄存器 地址 :0x0A; 复位 :0xA0; 名称 : POSTADC_GAIN1 表 25. POSTADC_GAIN1 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:0] PADC_GAIN1 通道 1 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. 0 Page 36 of 44

37 后置 ADC 增益通道 2 控制寄存器 地址 :0x0B; 复位 :0xA0; 名称 : POSTADC_GAIN2 表 26. POSTADC_GAIN2 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:0] PADC_GAIN2 通道 2 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 后置 ADC 增益通道 3 控制寄存器 地址 :0x0C; 复位 :0xA0; 名称 : POSTADC_GAIN3 表 27. POSTADC_GAIN3 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:0] PADC_GAIN3 通道 3 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 Rev. 0 Page 37 of 44

38 后置 ADC 增益通道 4 控制寄存器地址 :0x0D; 复位 :0xA0; 名称 : POSTADC_GAIN4 表 28. POSTADC_GAIN4 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:0] PADC_GAIN4 通道 4 后置 ADC 增益 0xA0 RW 增益 :+60 db 增益 : db 增益 : db 增益 : db 增益 :0 db 增益 : db 增益 : db 静音 高通滤波器和直流失调控制寄存器以及主静音寄存器 地址 :0x0E; 复位 :0x02; 名称 : MISC_CONTROL Rev. 0 Page 38 of 44

39 表 29. MISC_CONTROL 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:6] SUM_MODE 实现较高 SNR 的通道求和模式控制 0x0 RW 00 4 通道正常工作 01 2 通道求和工作 ( 参见 ADC 求和模式 部分 ) 10 1 通道求和工作 ( 参见 ADC 求和模式 部分 ) 11 保留 5 保留 保留 0x0 RW 4 MMUTE 主静音 0x0 RW 0 正常工作 1 所有通道静音 [3:1] 保留 保留 0x0 RW 0 DC_CAL 直流校准使能 0x0 RW 0 正常工作 1 执行直流校准 ADC 削波状态寄存器地址 :0x19; 复位 :0x00; 名称 : ASDC_CLIP 表 30. ASDC_CLIP 的位功能描述 位 位名称 设置 说明 复位 访问类型 [7:4] 保留 保留 0x0 RW 3 ADC_CLIP4 ADC 通道 4 削波状态 0x0 R 0 正常工作 1 ADC 通道削波 2 ADC_CLIP3 ADC 通道 3 削波状态 0x0 R 0 正常工作 1 ADC 通道削波 1 ADC_CLIP2 ADC 通道 2 削波状态 0x0 R 0 正常工作 1 ADC 通道削波 0 ADC_CLIP1 ADC 通道 1 削波状态 0x0 R 0 正常工作 1 ADC 通道削波 Rev. 0 Page 39 of 44

40 数字直流高通滤波器和校准寄存器 地址 :0x1A; 复位 :0x00; 名称 : DC_HPF_CAL 表 31. DC_HPF_CAL 的位功能描述 位 位名称 设置 说明 复位 访问类型 7 DC_SUB_C4 扣除通道 4 校准产生的直流值 0x0 RW 0 无直流扣除 1 扣除直流校准产生的直流值 6 DC_SUB_C3 扣除通道 3 校准产生的直流值 0x0 RW 0 无直流扣除 1 扣除直流校准产生的直流值 5 DC_SUB_C2 扣除通道 2 校准产生的直流值 0x0 RW 0 无直流扣除 1 扣除直流校准产生的直流值 4 DC_SUB_C1 扣除通道 1 校准产生的直流值 0x0 RW 0 无直流扣除 1 扣除直流校准产生的直流值 3 DC_HPF_C4 通道 4 直流高通滤波器使能 0x0 RW 0 HPF 关闭 1 HPF 开启 2 DC_HPF_C3 通道 3 直流高通滤波器使能 0x0 RW 0 HPF 关闭 1 HPF 开启 1 DC_HPF_C2 通道 2 直流高通滤波器使能 0x0 RW 0 HPF 关闭 1 HPF 开启 0 DC_HPF_C1 通道 1 直流高通滤波器使能 0x0 RW 0 HPF 关闭 1 HPF 开启 Rev. 0 Page 40 of 44

41 典型应用电路 图 44. 典型应用电路, 四路输入 I 2 C 和 I 2 S 模式 Rev. 0 Page 41 of 44

42 外形尺寸 PIN 1 INDICATOR SQ BSC EXPOSED PAD 40 1 PIN 1 INDICATOR SQ SEATING PLANE TOP VIEW MAX 0.02 NOM COPLANARITY REF BOTTOM VIEW COMPLIANT TO JEDEC STANDARDS MO-220-WJJD. 图 引脚引线框芯片级封装 [LFCSP_WQ] 6 mm x 6 mm 超薄体 (CP-40-14) 图示尺寸单位 :mm MIN FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET A 订购指南 1, 2 型号 温度范围 封装描述 封装选项 ADAU1979WBCPZ 40 C 至 +105 C 40 引脚 LFCSP_WQ CP ADAU1979WBCPZ-RL 40 C 至 +105 C 40 引脚 LFCSP,13" 卷带和卷盘 CP EVAL-ADAU1979Z 评估板 1 Z = 符合 RoHS 标准的器件 2 W = 通过汽车应用认证 汽车应用产品 ADAU1979 WBCPZ 生产工艺受到严格控制, 以满足汽车应用的质量和可靠性要求 请注意, 车用型号的技术规格可能不同于商 用型号 ; 因此, 设计人员应仔细阅读本数据手册的技术规格部分 只有显示为汽车应用级的产品才能用于汽车应用 欲了解特定产品的订购信息并获得这些型号的汽车可靠性报告, 请联系当地 ADI 客户代表 Rev. 0 Page 42 of 44

43 注释 Rev. 0 Page 43 of 44

44 注释 I 2 C 指最初由 Philips Semiconductors( 现为 NXP Semiconductors) 开发的一种通信协议 2013 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D11408sc-0-11/13(0) Rev. 0 Page 44 of 44

ADAU1977 目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...3 技术规格...4 模拟性能规格...4 诊断和故障规格...5 数字输入 / 输出规格...6 电源规格...6 数字滤波器规格...7 时序规格...8 绝对最大额定值...10 热阻...10

ADAU1977 目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...3 技术规格...4 模拟性能规格...4 诊断和故障规格...5 数字输入 / 输出规格...6 电源规格...6 数字滤波器规格...7 时序规格...8 绝对最大额定值...10 热阻...10 集成诊断功能的四通道 ADC ADAU1977 特性带诊断功能的可编程麦克风偏置 (5 V 至 9 V) 4 路 10 V rms 直接耦合差分输入利用片内 PLL 获得主时钟低电磁辐射设计 ADC 动态范围 :109 db THD + N: 95 db 可选数字高通滤波器 24 位 ADC,8 khz 至 192 khz 采样速率数字音量控制 I 2 C/SPI 控制软件可控无杂音静音功能软件关断右对齐

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

目录特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 性能规格... 3 电源要求... 4 数字输入 / 输出... 4 数字时序... 4 绝对最大额定值... 6 热阻... 6 ESD 警告... 6 引脚配置和功能描述... 7 典型工作特性...

目录特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 性能规格... 3 电源要求... 4 数字输入 / 输出... 4 数字时序... 4 绝对最大额定值... 6 热阻... 6 ESD 警告... 6 引脚配置和功能描述... 7 典型工作特性... 数字输入 2 W D 类音频功率放大器 SSM259 特性无滤波 数字输入 D 类放大器独立工作或 I 2 C 控制串行数字音频接口支持各种常见音频格式 :I 2 S 左对齐 右对齐 TDM-6 和 PCM 采用 5 V 电源时能够以 2.3 W 功率驱动 4 Ω 负载 以.35 W 功率驱动 8 Ω 负载, 且 THD + N 等于 % 采用 2 引脚.4 mm.7 mm 0.4 mm 间距 WLCSP

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

目录特性... 应用... 功能框图... 修订历史... 3 概述... 4 技术规格... 5 数字时序规格... 8 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 工作原理... 7 系统框图... 7 概览... 8 初始化... 2 主时钟和 PLL.

目录特性... 应用... 功能框图... 修订历史... 3 概述... 4 技术规格... 5 数字时序规格... 8 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 工作原理... 7 系统框图... 7 概览... 8 初始化... 2 主时钟和 PLL. 特性完全可编程的音频数字信号处理器 (DSP), 可改善声音处理性能可利用专有图形编程工具 SigmaStudio 开发自定义信号流程 SigmaDSP 内核频率 :72 MHz; 每个样本指令数 :3584 (48 khz) 4k 参数 RAM,8k 数据 RAM 灵活的音频路由矩阵 (FARM) 24 通道数字输入和输出最多 8 个立体声异步采样速率转换器 ( 采样比范围 ::8 至 7.75:,

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1 3 GHz HDMI 2:2 交叉点收发器集成屏幕显示 ADV7626 产品特性 2 路输入 2 路输出交叉点 HDMI 收发器支持 HDMI 支持 3 GHz 视频 ( 高达 4k 2k) 音频回授通道 (ARC) 支持 3D 电视内容类型位兼容 CEC 1.4 扩展色度基于字符和图标的屏幕显示 (OSD) 3D OSD 叠加于所有强制性 3D 格式支持 OSD 叠加于 3 GHz 视频格式高带宽数字内容保护

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

目录 特性... 应用... 概述... 修订历史...3 功能框图...4 技术规格...5 模拟性能...5 数字输入 / 输出...7 电源...7 温度范围...7 PLL 和振荡器...7 调节器...8 数字时序规格...8 绝对最大额定值... 热阻... ESD 警告... 引脚配置

目录 特性... 应用... 概述... 修订历史...3 功能框图...4 技术规格...5 模拟性能...5 数字输入 / 输出...7 电源...7 温度范围...7 PLL 和振荡器...7 调节器...8 数字时序规格...8 绝对最大额定值... 热阻... ESD 警告... 引脚配置 SigmaDSP 28/56 位音频处理器, 内置 2 个 ADC 和 4 个 DAC 特性 28/56 位 50 MIPS 数字音频处理器 2 个 ADC:SNR 为 00 db,thd + N 为 83 db 4 个 DAC:SNR 为 04 db,thd + N 为 90 db 完全独立工作从串行 EEPROM 自引导辅助 ADC 配有 4 路输入多路复用器, 用于模拟控制 GPIO 用于数字控制和输出可利用

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2

I S3125A A. B. C. D. E. F cm 10cm 10cm Cs-2 9 PA-MC5500 2 6 10 17 Onkyo9 9 Cs I 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 20cm 10cm 10cm Cs-2 1. 2. Onkyo 3. 4. AC 230V 50Hz AC 120V 60Hz [ON/STANDBY]

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

+00DE _01EN.book

+00DE _01EN.book TCS-NET MODBUS TCS-NET Modbus TCB-IFMB640TLE 1...... 2 2 RS 485... 3 3... 3 4... 4 5... 4 6... 5 7... 6 8... 16 TCS-NET Modbus 1 http://www.modbus-ida.org/ >=3.5 8 8 N*8 ( N = 252.) 16 >= 3.5 Modbus-Master

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP   2 2 FH-RFSS321-I (TD-SCDMA ) TD-SCDMA / MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP http://www.beijing-wri.com 2 2 1...7 1.1...7 1.2...7 1.3...7 1.4...8 1.5...9 1.6...10 1.7...10 1.8

More information

目 录 特 性...1 应 用... 1 概 述... 1 功 能 框 图... 1 修 订 历 史... 规 格...3 时 序 规 格...5 绝 对 最 大 额 定 值... 6 ESD 警 告... 6 引 脚 配 置 和 功 能 描 述...7 典 型 性 能 参 数... 8 输 出 噪

目 录 特 性...1 应 用... 1 概 述... 1 功 能 框 图... 1 修 订 历 史... 规 格...3 时 序 规 格...5 绝 对 最 大 额 定 值... 6 ESD 警 告... 6 引 脚 配 置 和 功 能 描 述...7 典 型 性 能 参 数... 8 输 出 噪 特 性 电 容 数 字 转 换 器 采 用 单 芯 片 解 决 方 案 的 新 标 准 可 与 单 一 或 差 分 接 地 式 传 感 器 接 口 分 辨 率 : 最 低 af( 即 最 高 19.5-bit ENOB) 精 度 :1 ff 线 性 度 :.1% 共 模 ( 不 可 变 ) 电 容 最 大 可 达 17 pf 满 量 程 ( 可 变 ) 电 容 范 围 :±8 pf 更 新 速 率

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Aquasnap Junior 30RH/RA RH/RA

Aquasnap Junior 30RH/RA RH/RA Aquasnap Junior 30RH/RA007-013 - 2004 11 25 1 30RH/RA007-013 2 30RH/RA007-013 30RH/ RA007-013 30RH/RA Junior Aquasnap CCN PRO-Dialog Plus PRO-DIALOG Plus PRO-Dialog Plus PID PRO-Dialog Plus PRO-Dialog

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

Si53xx Family Reference Manual (Chinese)

Si53xx Family Reference Manual (Chinese) 任 意 频 率 精 准 时 钟 A NY-FREQUENCY PRECISION CLOCKS Si5316, Si5319, Si5322, Si5323, Si5324, Si5325, Si5326, Si5327, Si5365, Si5366, Si5367, Si5368, Si5369, Si5374, Si5375 系 列 参 考 手 册 FAMILY REFERENCE MANUAL

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information