主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 2

Size: px
Start display at page:

Download "主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 2"

Transcription

1 4.4 高速数字信号处理器 收发开关 滤波放大 A/D 数字信号处理器 数字下 / 上变频器 高速数字信号处理器 功率放大 D/A 模拟前端 A/D/A 技术

2 主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 2

3 SDR 信号处理特点 数字硬件系统 : 主要包括数据处理 数据 传输和数据存储 要求 : 高性能 模块化 : 硬件模块化和软件模块化 可扩展 : 接口标准 灵活性 3

4 数字信号处理器 指具有数字信号处理功能和一定编程能力的器件 专用集成电路 (ASIC): 功能实现相对固定 数据结构明确的应用 ; 费用高 设计周期长 现场可编程门阵列 (FPGA): 适合高度并行的流水线应用, 极高 性能的信号处理能力 ; 存在复杂判决 控制和嵌套循环时, 实现困难 通用数字信号处理器 (DSP): 基于哈弗结构, 支持低 高级语 言, 具有更大的灵活性 ; 串行处理, 运行效率相对较低 通用处理器 (GPP): 基于冯诺依曼结构的 RISC 微处理器, 支持操 作系统和高级语言编程, 具有最大的灵活性 4

5 数字信号处理器 数字信号处理器件计算能力灵活性功耗成本 ASIC 高低低低 FPGA 高端 FPGA 高中高高 低端 FPGA 中中中中 DSP 低中低中 GPP 低高高低 首先考虑计算能力 ; 其次, 成本和功耗 ; 最后是灵活性 序号架构侧重主要应用领域 1 高端 FPGA+ 高端 GPP 注重灵活性 + 计算能力 型 舰载 车载等国防电子产品 2 高端 FPGA+ 高端 DSP 注重计算能力 + 功耗型 机载 星载等国防电 子产品 3 ASIC+DSP 或低端 FPGA+DSP 表 4-26 几种数字信号处理基本平台架构 注重功耗 成本型 民用电子产品 5

6 数字信号处理器的应用领域 通用数字信号处理 : 如数字滤波 自适应滤波 快速傅里 叶变换 相关运算 频谱分析 卷积等 通信 : 如调制解调器 自适应均衡 数据加密 数据压缩 回坡抵消 多路复用 传真 扩频通信 纠错编码 波形 产生等 语音处理 : 如语音编码 语音合成 语音识别 军事与尖端科技 : 如保密通信 雷达处理 声纳处理 导 航等 计算机与工作站 图形 / 图像处理 自动控制 仪器仪表 医学电子 消费电子 6

7 性能指标 (1) 指令周期 就是执行一条指令所需要的时间, 通常以 ns 为单位 (2) MAC 时间 即一次乘法加上一次加法的时间 (3) FFT 执行时间 即运行一个 N 点 FFT 程序所需的时间 (4) MIPS 即每秒执行百万条指令 (5) MOPS 即每秒执行百万次操作 (6) MFLOPS 即每秒执行百万次浮点操作 (7) BOPS 即每秒执行十亿次操作 7

8 主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 8

9 目前生产 DSP 芯片的厂商 : ADI: 高性能浮点, 价格较高 TI: 价格稍低, 高性能多核 Motorola AT&T INMOS PLESSEY CETC 38 所 9

10 ADI 公司 DSP 产品 ADI 公司提供了完整 DSP 系列, 适合于各种信号处理的需要 它包括 ADSP-21xx 16 位的定点 DSP 产品 SHARC 32 位的浮点 DSP 产品系列 BF-5xx 高性能定点 Blackfin DSP, 面向多媒体处理, 支持多格式音频 语音和图像处理等 TigerSHARC 高性能 32 位的浮点 DSP 产品 SigmaDSP 完全可编程的数字音频处理器, 堪称汽车和便携式音频产品的理想之选 10

11 11

12 12

13 功能结构图

14 内核结构主要包括运算块 程序控制器 整型 ALU 数据对齐缓冲器和中断控制器 每个运算块包括 1 个算术逻辑单元 (ALU),1 个乘法器,1 个移位器,1 个寄存器组和一个通信逻辑运算单元 ( CLU) 两个运算块可以相互独立或一起工作, 处理定点数和浮点数的算术运算 14

15 TS201 的总线结构分为内部总线和外部总线 处理器内核有 3 条相互独立的 128 位的内部数据总线和 32 位的地址总线, 数据总线与所有内部存储器块连接 外部总线包括 32 或 64 位数据总线 32 位地址总线以及各种控制信号 支持流水协议 SDRAM 协议和慢速设备协议 15

16 TS201 有 24 Mbit 片上 DRAM 存储器, 分为 6 个 4 Mbit 的块, 每个存储块单独能够存储程序 数据或 者同时存储程序和数据 DSP 片内和外部的存储器组织在统一的存储器映 射空间 整个映射空间分为 : 主机空间 外部存储 器空间 多处理器空间和片内存储器空间 16

17 17

18 TS201 的外部端口包括片外存储器和外设接口 4G 字的寻址空间在 DSP 统一的寻址空间中 外部总线能被配置成 32 位或者 64 位宽度操作 可以通过高地址线译码来产生存储块选择信号, 方便外部存储器和存储器映像外设的访问 18

19 TI 公司主推的 DSP 系列 C2000 DSP C5000 DSP C6000 DSP Motor Control DSP Personal DSP Broadband Infrastructure DSP TI C28x DSP 核心 : 针对控制进行优化的 DSP TI C55x DSP 核心 : 低功耗性能比的 DSPs TI C64x DSP 核心 : 高性能的 DSPs 定点 控制器, 具有大量外设 ( 如 AD 定时器 串口 CAN 总线等 ) 可扩展性能达到 400MIPs 最佳的 C/C++ 控制代码效率 兼容 C24x DSP 软件 以达到 0.05mW/MIPs 的最低 功耗性能比而具有最长的电池 寿命 高达 300MHz16 位定点和 FFT 加速器 个人与便携式音频 / 语音 指纹识别 便携式医疗, 手机 PDA GPS 等 300MHz 到 1.5GHz 浮点 DSP 和视频加速器 最好的 DSP 编译器, 便于 使用 C6000 单核 DaVinci 视频处理器

20 TI 公司主推的 DSP 系列 2010 年推出 包括具有浮点和定点 DSP 处理器 (1.5GHz) 及 ARM Cortex TM- A8 处理器的高性能 C6A816x 器件 集成的高带宽外设 3D 图形和显 示引擎 DSP+ARM OMAP DSP TI OMAP-L1x: 经济高效的高性能处理器 TI DM3x : 专为数字视频 影像和视觉应用而设计 可升级的达芬奇处理器系列还 包括多媒体编解码器 加速器 外设和框架 DaVinci 数字视频处理器 DMSoC 多核 DSP 测试和测量, 医学成像, 工业自动化 军事, 高端成像设备 TI C66x 多核 : C647x 多核, 以最低的功耗和成本提供最高的性能 集成多达八个 C66x 内核 首次在 TMS320C66x 系列 可扩展设备中融合了定点和 浮点功能 业界首个 10 GHz DSP, 具 有 320 GMAC 和 160 GLOP 定点和浮点性能

21 业界最高性能的多内核 DSP 多核 DSP 该系列包括 3 款采用双核 4 核及 8 核的引脚兼容型多核 DSP, 分别为 TMS320C6672 TMS320C6674 与 TMS320C6678, 以及一款 4 核通信片 上系统 (SoC)TMS320C6670TI 优势 : 高性能 :8 个速率高达 1.25GHz 的高性能定点 / 浮点 CPU 内核, 每个内核的每周期定点性能高达 32MAC, 每周期浮点性能高达 16FLOP 每个核都配置了一级程序存储器 (L1P), 一级数据存储器 (L1D) 以及二级局部存储器 (L2) 并有多核共享存储空间控制器 (MSMC) 和多核导航器 (Multicore Navigator) 来控制多核间的协同工作 ; 高集成 : 每个 DSP 内核都集成了定点和浮点处理功能 ; 低功耗 : 针对所有应用的低功耗, 充分利用 TI 突破性低功耗 SmartReflex TM 技术, 并根据环境条件动态调节电源电压 ; 21

22 多核 DSP 优势 : 多内核特性 : 最新 KeyStone 架构包括 Multicore Navigator 改进的存储器架构 HyperLink 接口 PCI Express Gen2 Serial RapidI/O 以及其它外设等特性, 可实现内核与存储器存取的直接通信, 并可充分发挥多内核性能 ; 工具与软件 : 完整的软硬件支持, 不但包括 Linux 操作系统 BIOS 多内核平台软件 开放式 GCC 工具 Code Composer Studio TM 软件与 MC-SDK, 而且还可提供 C 编译程序, 专用软件库与演示 ; 可扩展性 : 全系列多内核器件的引脚兼容, 并与 TI 现有 C6000 DSP 实现软件兼容 ; 22

23 Keystone 多核架构 多核共享的存储子系统 对于处理器核之间 外设 协处理器和 I/O, KeyStone 为无边界访问提供足够的内部带宽 需要 4 个硬件单元的保证 : 多核导航器 TeraNet 多核共享存储控制器 (MSMC) 和 HyperLink 提供 50Gbps 芯片级互连 2 Tbps 容量 多核 SoC 的核心部分 23

24 CETC38 所设计 BWDSP100 BWDSP100 是一款 32 位浮点 DSP, 同时兼容 16 位和 32 位定点数据格式, 采用 VLIW 架构, 具有强大的并行处理能力, 能较好地满足高速实时信号处理的应用要求 BWDSP100 处理器是一款 32bit 静态超标量处理器, 采用 SIMD( 单指令流, 多数据流 ) 架构 工作主频 500 MHz, 指令周期 2ns 内部包含 4 个基本执行宏 (Element operation Macro, 简称宏 ), 每个执行宏由 8 个算术逻辑单元 (ALU) 4 个乘法器 (MUL) 2 个移位器 (SHF) 1 个超算器 (SPU) 以及 1 个通用寄存器组组成 ( 参见图 1.3) 运算部件支持的数据格式包括 16 位 /32 位定点,32 位浮点,16 位 /32 位定点复数,32 位浮点复数

25 采用哈佛结构, 有独立的程序总线和数据总线 处理器指令总线宽度为 512bit; 内部数据总线采用非对称全双工总线, 内部数据读总线位宽为 512bit 内部数据写总线位宽为 256bit 4 个基本执行宏, 每个执行宏由 8 个 ALU 4 个乘法器 (MUL) 2 个移位器 1 个超算器 (SPU) 以及 1 个通用寄存器组组成

26 已提供给电科相关厂所 航天相关院所 相关高校等用户 成果与应用

27 DEMO 板 DDR2 UART Link JTAG FLASH 16bit BWDSP100 1 Link BWDSP100 2 Link Link Link GPIO GPIO 拨码开关 LED FPGA ADC DAC 电源模块 时钟模块 GPIO JTAG

28 主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 28

29 ADSP 开发工具 ADI 公司为 SHARC 系列 DSP 的开发提供了完整的开发工具 早期的开发工具是 ADI_DSP 软件, 目前广泛使用的是 VisualDSP++, 使设计人员可以在统一的界面环境下完成整个开发流程 VisualDSP++ 支持汇编语言和 C 语言, 并支持混合编程 汇编语言使用数学运算符号, 类似 C 程序, 可读性强 ;C 语言配有强大的接口库和算法库, 这些函数底层用汇编写成, 可以直接在 C 语言中被调用, 如 FFT,FIR,IIR 等标准子函数, 缩短了开发周期 VisualDSP++ 支持应用程序软模拟 simulator EZ-KIT 评估套件, 个人计算机通过串行口访问 ADSP-21065L SHARC 处理机, 设计人员可以将模拟器下载到片上进行仿真 EZ-ICE 仿真器通过 JTAG 在线仿真 Emulator 29

30 菜单栏 VisualDSP++ 开发环境 工具栏 工程管理窗口 反汇编窗口 源代码窗口 输出窗口 30

31 VisualDSP++ 的主要特点 具有强大的编辑器功能 灵活的工程管理功能 开发工具统一界面 编译器 汇编器 链接器 加载码产生器 友好的编译链接功能 输出窗口显示编译进度及错误 工作空间管理功能 支持多项目 多语言支持功能, 混合编程 有效的 debug 控制 31

32 ADSP 软件开发流程 C 程序.C 连接描述文件.ldf 库文件.h 工程文件.dpj 编译链接 可执行代码.dxe 汇编程序.asm 软模拟 simulator 目标板在线仿真 EZ-ICE 产生 EPROM 代码 32

33 软件开发流程与 VisualDSP++ Simulator Project Options Debug Session EZ-KIT Build debug Emulator.c.asm Compiler.doj linker.dxe splitter.ldr Assembler VisualDSP++ 的 IDDE(Integrated Development and Debugging Environment) 使以上过程可以在统一的环境下完成 33

34 程序结构 #include defts201.h // 头文件, 包含一些宏定义.section data2;// 数据段分配.align 4;.var buffer1[8]="dft4.dat";.align 4;.var buffer2[8];.section data4;.align 4;.var twid4[4]="twid4.dat";.section program; dft4:.. 代码区 34

35 数据寻址方式 当数据在存储器和寄存器之间传输时, 由 IALU 提供存储器的地址, 常用的数据寻址方式包括 : (1) 直接存储器寻址 (2) 间接存储器寻址 (3) 循环缓冲寻址 (4) 位反序寻址 35

36 位反序寻址 位反转寻址主要针对 FFT 算法而设计, 位反转寻址可以自动的按照逆序的规律计算地址, 节省了逆序操作的软件开销 在 TIGER SHARC DSP 中,IALU 通过位反序进位操作符支持位反序寻址, 当这个操作符用于一个间接后修改读或写访问时, 进位向右移动 ( 而不是向左移动 ) 位反序寻址的数据量 ( 缓冲区的长度 ) 必须是 2 的幂, 所寻址的数据缓冲区的起始地址必须与缓冲区长度的整数倍地址对齐 36

37 x(0) x(4) x(2) x(6) x(1) x(5) x(3) x(7) W 0 N W 0 N W 0 N W 0 N x 3 (0) x 3 (1) x 4 (0) x 4 (1) x 5 (0) x 5 (1) x 6 (0) x 6 (1) N/4 点 DFT W 0 N W 2 N W 0 N W 2 N x 1 (0) x 1 (1) x 1 (2) x 1 (3) x 2 (0) x 2 (1) x 2 (2) x 2 (3) W 0 N W 1 N W 2 N W N 3 N/2 点 DFT N/2 点 DFT A(0) A(7) X(0) X(1) X(2) X(3) X(4) X(5) X(6) X(7) x(n 0 n 1 n 2 ) x(n 2 n 1 n 0 ) N 点 DIT( 时间抽取 ) FFT 运算流图 (N=8)

38 位反序寻址原理图 由于 N=2M, 所以顺序数可用 M 位二进制数 (n M-1 n M-2 n 1 n 0 ) 表示 n 2 n n (n 2 n 1 n 0 ) x(n 0 n 1 n 2 ) 形成倒序的树状图 (N=2 3 )

39 位反序寻址进位方式 地址偏移量的位反序的实现 在位反序时, 地址偏移量的进位方式 没有位反序时, 地址偏移量的等效进位方式 YXR1:0=BR Q[J0+=4];; YXR3:2=BR Q[J0+=4];; 39

40 时钟周期计数器 CCNTx 时钟周期计数器用来计程序执行的周期数,64 位长, 由两个寄存器 CCNT0 和 CCNT1 组成, 分别对应时钟周期计数器的低 32 位和高 32 位, 该寄存器不能通过长字方式进行访问, 只能通过两个单字方式进行访问 ; 为了保持对该寄存器的两次读操作的一致性, 应当先读取时钟周期计数器的低 32 位 CCNT0, 然后再读取高 32 位 CCNT1 当读取低 32 位 CCNT0 时, 高 32 位 CCNT1 将被复制到一个镜像寄存器中, 所以, 在两次读操作过程中, 时钟周期计数器的高 32 位的值将不会改变 40

41 脉压执行时间统计 xr0=ccnt0;; [j31+cycle_counter]=xr0;;.align_code 4;// 进行脉冲压缩处理 call PULSECOMPRESS;; xr0=ccnt0;; [j31+cycle_counter+1]=xr0;; 41

42 ADSP_TS201 DMA 与处理器其他部分连接关系 42

43 DMA 传输 直接存储器访问 (DMA) 是不需要处理器核干预的数据传输机制 DMA 控制器允许将数据传输作为一个后台任务执行, 从而将处理器核释放出来, 进行其他数字信号处理操作 ADSP_TS201 片上 DMA 控制器有 14 个 DMA 通道, 4 个通道专用于外部存储器设备,8 个通道专用于链路口, 还有两个用于自动 DMA 操作 利用 DMA 控制器, ADSP_TS201 处理器能执行以下几种类型的数据传输 : 43

44 DMA 传输类型 : (1) 内部存储器到外部存储器 存储器映射的外设设备之间的数据传输 ; (2) 外部存储器与外部设备之间的飞越式数据传输 ; (3) 外部存储器到链路口 I/O 的数据传输 ; (4) 链路口 I/O 到内部存储器的数据传输 ; (5) 链路口 I/O 到外部存储器的数据传输 ; ( 6) 链路口 I/O 之间的闭环数据传输 ; 44

45 DMA 传输的数据流具有方向性, 即从发送端到接收端 若发送端或接收端是存储器, 它需要通过 TCB 寄存器来描述相应的地址与控制 链路口只需要一个 TCB 寄存器, 发送端需要一个源 TCB, 接收端需要一个目的 TCB; TCB: 传输控制寄存器组 传输控制寄存器组是一个 128 位的四字组寄存器, 含有 DMA 传输所需的控制信息, 在 DMA 发送时,4 字组包含了源数据的地址, 将要发送的字数量, 地址增量和控制位 在 DMA 接收时,4 字组包含了目的地址, 将要接收的字数量, 地址增量和控制位 其结构图如下所示 : 45

46 DI 寄存器 : 是一个 32 位的 DMA 索引寄存器, 它包含了将要发送或者接收的数据源地址或目的地址 ; DX 寄存器 : 包括一个 16 位的计数值和一个 16 位的修改量, 计数值保存在高 16 位, 修改量保存在低 16 位 如果使能了二维 DMA, 则该寄存器保存的只是 X 方向的计数值和修改量, 计数值以 32 位正常字为单位, 修改量也以 32 位正常字为单位 ; DY 寄存器 : 同 DX 寄存器 ; 46

47 DP 寄存器 : DP 寄存器包含了 DMA 传输的所有控制信息, 该寄存器分成两个段 : 第一个段 ( 位 22 31) 包含所有控制信息, 而第二个段 ( 位 0 21) 则包含了链式信息, 各位定义如下 : 47

48 DMA 通道的建立 : DMA 通道的建立是通过给每一个 DMA TCB 寄存器写入一个四字组实现的, 一旦通道建立, 数据就自动开始传输, 若 TCB 编程为允许 DMA 产生中断, 且相应 DMA 中断被使能, 则整块数据传输完成后 DMA 将产生一个中断, 中断发生在计数寄存器减至零, 而且最后一个数据单元被传输后 48

49 对 SDRAM 的访问 当要处理的数据量很大时, 会使用 SDRAM 来暂时存放数据, 需要处理时再从 SDRAM 读到内部 DRAM 中 ADSP_TS201 通过 SDRAM 控制器与 SDRAM 进行数据传输, 使用外部端口和 SDRAM 控制引脚 ; ADSP_TS201 可以直接访问 SDRAM, 也可以通过 DMA 的方式访问 ; 直接访问时, 速度很慢, 而 DMA 方式访问较快, 通常使用 DMA 方式 ; 49

50 600 MHz 运行时通用算法性能 50

51 主要内容 数字信号处理器 (DSP) 概述 DSP 生产厂商及主要器件 ADI TI CETC 38 所 软件编程 基于 DSP 的应用系统介绍 51

52 某处理机硬件设计 其他设备 DSP1 A/D FPGA DSP0 FLASH 时钟和电源管理 终端 DSP2 图 13. 信号处理机硬件设计 52

53 53

54 r(t) PC A/D FPGA r(nt s ) Quadrature Detector Control Signals Control Block I Q Control Signals I Q Data/Addr Bus DSP2 Pulse Compression Acceleration Compensation Coherent Integration CFAR Link Port 图 14. 信号处理机软件设计 DSP1 Pulse Compression Acceleration Compensation Coherent Integration CFAR Link Port DSP0 Target Relating 54

55 乒乓缓冲区 数据 D3 D2 D1 D1 运算 D3 D2 运算 缓冲区 A 缓冲区 B 在数据流有一定间隔的数字信号处理系统中, 数据的处理和传输也是按照一定间隔方式进行的, 所以, 在大多数信号处理系统中, 为了提高系统的效率, 往往将处理器运算核所占用的存储区与处理器外部端口或者链路口进行数据通信时占用的数据区采用乒乓缓冲, 并交叉分配在不同的存储块中, 避免冲突 ; 55

56 乒乓缓冲区建立方法 : 建立两个不同的缓冲区 A 和 B, 假设在某段时间内处理器的运算核只访问缓冲区 A, 这时处理器外部端口或者链路口可以实现与另一个缓冲区 B 的数据传输操作, 在进行相应的数据处理和数据传输操作后, 将这两个过程所使用的缓冲区对调, 即在下一段时间内处理其运算核访问缓冲区 B, 而处理器外部端口或者链路口实现与缓冲区 A 的数据传输操作, 如此下去, 完成对数据流的处理和传输 56

57 乒乓缓冲区的访问 对于乒乓缓冲区的访问一般是通过设置一个缓冲区指示标志来确定, 即通过建立一个缓冲标志, 在进行数据处理和数据传输前通过判断该标志来确定进行数据处理或者数据传输所需的数据放在哪个缓冲区中, 然后在进行数据处理或者数据传输后将该标志翻转即可 57

58 某研究所通用信号处理板卡

59

60

61 某公司通用信号处理板卡 (TS8X) SDRAM 256MB FLASH 128Mb SDRAM 256MB DSP1 TS201 FPGA4 EP2S30 DSP2 TS201 SDRAM 256MB SDRAM 256MB DSP3 TS201 FPGA3 EP2S30 DSP4 TS201 SDRAM 256MB SDRAM 256MB DSP5 TS201 FPGA2 EP2S30 DSP6 TS201 SDRAM 256MB SDRAM 256MB DSP7 TS201 FPGA1 EP2S30 DSP8 TS201 PCI9656 CPCI 64bit 66MHz FP7 FP5 FP3 FLV1 FP1 FLV3 FP4 FP6 FP8 FP2 CPCI-J1 J2 CPCI-J3 J4 J5

62 P7 P5 P1 P3 P6 P8 P4 P FP1 FP7 FP6 FP4 FP2 FP8 FP3 FP5 Link 口拓扑结构 :

63

64 高速 DSP 设计注意问题 1.PCB 板分布参数 2. 模拟数字混合系统设计注意接地问题 3. 电源去耦 ( 靠近器件 器件旁安装电容 ) 4. 防止多时钟的互相干扰 5. 信号走线

65 The End! 65

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

ARM开发板

ARM开发板 The success's road 基于 DSPs 的系统开发过程 www.farsight.com.cn 今天的内容 v 1)TMS320 C6000 系列 DSP 的关键技术, 包括哈佛总线, 多 MAC, 流水, 多线程等内容 v 2)CCS 的使用 v 3)BIOS 核心技术, 包括 HWI,SWI,TASK 等的调度 v 4)DMA 关键外设的使用 v 5) 线性汇编优化代码等 v 6)

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

答辩用模板

答辩用模板 智能控制大赛技术培训 2008 年 6 月 30 日 主要内容 首届智能控制比赛回顾 本届比赛任务 控制核心 信号检测 电机驱动 学生完成的项目 学生完成的项目 参赛队组成 : 每队 3 人, 年级不限 比赛场地 :240cm X 240cm 提供器材 : 飞思卡尔 DSP56F8013 评估板 1 套 ( 可以不使用该评估板, 但是不能使用除 DSP56F8013 以外任何 CPU)

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

<4D F736F F F696E74202D20362DC0EDB9A4B4F32D436F6D D4DAB8DFCBD9D0C5BAC5B2C9BCAFD6AED3A6D3C3205BD6BBB6C15D>

<4D F736F F F696E74202D20362DC0EDB9A4B4F32D436F6D D4DAB8DFCBD9D0C5BAC5B2C9BCAFD6AED3A6D3C3205BD6BBB6C15D> DSP 与 基于标准总线的 DSP 系统 北京理工大学雷达技术研究所 2004-07-09 目录 1: 概述 2:DSP 的发展历程 当前状态与性能比较 3:DSP 系统分析与周边器件简介 4: 基于标准总线的 DSP 系统设计 5: 解决方案和案例分析 1 概述 1.1 DSP 概述 1.2 信号处理的目的和意义 1.3 数字信号处理技术的优点 1.4 DSP 系统的基本组成 1.5 总线技术在

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

chapt01.ppt

chapt01.ppt 2004.2.16 PC Laptop Mainframe Server (PDA) MP3 MP3 : : :, 225 ; 35 50 --- 94% ; Intel Pentium, Motorola PowerPC, etc. 6% ,,, (RTOS) Minimally Requirement for an Embedded System NMI Address Bus Microprocessor

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

册子0906

册子0906 IBM SelectStack ( PMC v2.0 ) 模块化私有云管理平台 是跨主流虚拟化技术的统一资源云管理平台 01 亮点 : 快速可靠地实现集成化 私有云管理平台 02/03 丰富的功能支持企业数据中心云计算 扩展性强 : 简单易用 : 04/05 功能丰富 : 06/07 为什么选择 IBM SelectStack (PMC v2.0)? 快速实现价值 提高创新能力 降低 IT 成本 降低复杂度和风险

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

Engineer-to-Engineer 指南 EE-167 Analog Devices 公司 DSP 器件和开发工具的使用技术指南 联系中国 DSP 免费技术支持中心 查看我们的在线资源 :

Engineer-to-Engineer 指南 EE-167 Analog Devices 公司 DSP 器件和开发工具的使用技术指南 联系中国 DSP 免费技术支持中心 查看我们的在线资源 : Engineer-to-Engineer 指南 EE-167 Analog Devices 公司 DSP 器件和开发工具的使用技术指南 联系中国 DSP 免费技术支持中心 :processor.china@analog.com 查看我们的在线资源 :http://www.analog.com/processors/china/ 使用 VisualDSP++ 开发 TigerSHARC DSP 多处理器系统简介

More information

Microsoft Word - EE-175_cn.doc

Microsoft Word - EE-175_cn.doc Engineer-to-Engineer Note EE-175 更 多 关 于 ADI 公 司 的 DSP 处 理 器 以 及 开 发 工 具 的 技 术 资 料, 请 访 问 网 站 :http://www.analog.com/ee-note 和 http://www.analog.com/processor 如 需 技 术 支 持, 请 发 邮 件 至 processor.support@analog.com

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

职 位 类 别 : 测 试 工 程 师 工 作 经 验 或 实 习 经 历 : 不 限 岗 位 要 求 : 1. 本 科 及 其 以 上 学 历, 计 算 机 相 关 专 业 2014 届 毕 业 生 ; 2. 实 习 时 间 要 求, 尽 量 一 周 五 个 工 作 日 ; 3. 熟 悉 Wind

职 位 类 别 : 测 试 工 程 师 工 作 经 验 或 实 习 经 历 : 不 限 岗 位 要 求 : 1. 本 科 及 其 以 上 学 历, 计 算 机 相 关 专 业 2014 届 毕 业 生 ; 2. 实 习 时 间 要 求, 尽 量 一 周 五 个 工 作 日 ; 3. 熟 悉 Wind 企 业 信 息 表 公 司 名 称 : 中 铁 信 安 ( 北 京 ) 信 息 安 全 技 术 有 限 公 司 公 司 性 质 : 国 企 控 股 公 司 规 模 : 100 人 左 右 所 属 行 业 : 互 联 网 计 算 机 软 件 招 聘 人 数 :12 工 作 地 点 : 北 京 市 海 淀 区 公 司 能 够 提 供 的 福 利 : 五 险 一 金 晋 升 旅 游 节 假 日 礼 物 加

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

Processor-DSP Buddy Call

Processor-DSP Buddy Call 全球领先的高性能信号处理解决方案供应商 DSP/ 与嵌入式处理器基本原理 ADI 公司 处理器 -DSP 核心产品与技术部门 2013 年 11 月 NDA required util November 11, 2008 数字信号处理简介 世界是数字的, 你只需要以足够高的采样速率将其数字化 海森堡 ( 其实, 这不是他的原话 ) 2 这句话要表达的意思是 : DSP 可以表达不同的含义 : 数字信号处理

More information

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量 五 上 自 然 與 生 活 科 技 科 第 四 單 元 水 溶 液 一 選 擇 題 01.( 0 下 列 哪 一 種 方 法 可 以 辨 識 出 水 溶 液 的 酸 鹼 性? 1 用 眼 睛 仔 細 觀 察 2 用 電 池 電 線 和 小 燈 泡 來 測 試 3 用 食 鹽 水 來 辨 識 4 用 紫 羅 蘭 花 的 汁 液 來 測 試 02.( 0 下 列 哪 一 種 水 溶 液 不 是 中 性

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378>

<4D6963726F736F667420576F7264202D20B8DFB5C8D1A7D0A3B1BEBFC6CEEFC1AACDF8B9A4B3CCD7A8D2B5D3A6D3C3D0CDC8CBB2C5C5E0D1F8D6B8B5BCD2E2BCFBA3A832303136B0E6A3A92E646F6378> 高 等 校 本 科 物 联 网 工 程 专 业 应 用 型 人 才 培 养 指 导 意 见 (2016 版 ) 高 等 校 本 科 计 算 机 类 专 业 应 用 型 人 才 培 养 研 究 项 目 物 联 网 工 程 专 业 工 作 组 序 随 着 信 息 化 社 会 的 发 展, 计 算 机 技 术 越 来 越 重 要, 信 息 产 业 成 为 世 界 第 一 大 产 业 信 息 技 术 的

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

* 1992.10 43 (91.49%) 4 9.51% 26 60.46% 13 4 30.2% 9.31 % 21 6 16 13 45 6 X1=8.16X=40.6 X2 X1 p 0.01 n =43 n =64 51 13 25 18 X1=6.635 X2=18.6 18.6 6.635 P 0.01 n =64 n =43

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

<4D6963726F736F667420576F7264202D2032303037C4EAC6D5CDA8B8DFB5C8D1A7D0A3D5D0C9FAC8ABB9FACDB3D2BBBFBCCAD4CEC4BFC6D7DBBACDCAD4BEEDBCB0B4F0B0B82DD6D8C7ECBEED2E646F63>

<4D6963726F736F667420576F7264202D2032303037C4EAC6D5CDA8B8DFB5C8D1A7D0A3D5D0C9FAC8ABB9FACDB3D2BBBFBCCAD4CEC4BFC6D7DBBACDCAD4BEEDBCB0B4F0B0B82DD6D8C7ECBEED2E646F63> 2007 年 普 通 高 等 学 校 招 生 全 国 统 一 考 试 ( 重 庆 卷 ) 文 综 试 卷 第 一 部 分 本 部 分 共 35 题, 每 题 4 分, 共 140 分 在 每 题 给 出 的 四 个 选 项 中, 只 有 一 项 最 符 合 题 目 的 要 求 的 读 图 1, 回 答 1-3 题 1. 某 两 洲 面 积 之 和 与 某 大 洋 面 积 十 分 接 近, 它 们 是

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

Presentation Title

Presentation Title 音频系统的快速设计 验证与实现 徐正高 MathWorks 2016 The MathWorks, Inc. 1 MATLAB for Real-Time Audio key use cases For research and product development: Desktop prototyping Custom measurements For project-based learning:

More information

Microsoft Word - A201004-1587.doc

Microsoft Word - A201004-1587.doc 1 基 于 μc/os-ii 的 嵌 入 式 电 子 潮 汐 预 报 仪 张 淑 娟, 李 海 森, 么 彬, 陈 宝 伟, 周 天 哈 尔 滨 工 程 大 学 水 声 技 术 国 防 科 技 重 点 实 验 室, 哈 尔 滨 (150001) E-mail: zhangshujuan@hrbeu.edu.cn 摘 要 : 本 文 涉 及 一 种 嵌 入 式 电 子 潮 汐 预 报 仪 的 开 发

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

第1章 概论

第1章 概论 计算机组成 原理蒋本珊 学习指南 本课程的性质 是计算机科学与技术专业本科生的核心课程之一, 是必修的专业基础课 学习指南 本课程的地位 本课程在计算机学科中处于承上启下的地位, 要求先修的课程有 : 计算机科学导论数字电路 学习指南 本课程的任务 讨论单机系统范围内计算机各部件和系统的组成以及内部工作机制 通过学习, 掌握计算机各大部件的组成原理 逻辑实现 设计方法及其互连构成整机系统的技术, 并为后继课程的学习打好基础

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

2015 44010078609858X 广 州 澳 希 亚 实 业 有 限 公 司 广 州 市 荔 湾 区 国 家 税 务 局 第 二 税 务 分 局 2015 914401011904301233 广 州 市 运 输 有 限 公 司 广 州 市 荔 湾 区 国 家 税 务 局 第 二 税 务 分

2015 44010078609858X 广 州 澳 希 亚 实 业 有 限 公 司 广 州 市 荔 湾 区 国 家 税 务 局 第 二 税 务 分 局 2015 914401011904301233 广 州 市 运 输 有 限 公 司 广 州 市 荔 湾 区 国 家 税 务 局 第 二 税 务 分 根 据 国 家 税 务 总 局 公 告 2016 年 第 7 号, 我 市 信 用 等 级 为 A 级 的 一 般 纳 税 人 取 得 销 售 方 使 用 增 值 税 发 票 系 统 升 级 版 开 具 的 增 值 税 专 用 发 票, 自 2016 年 3 月 1 日 起, 可 以 不 再 进 行 扫 描 认 证, 通 过 增 值 税 发 票 税 控 开 票 软 件 登 录 增 值 税 发 票 查

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

L1 computer system overview

L1 computer system overview Computer Architecture and Organization Department of Computer Science and Technology Nanjing University Fall 2013 Instructor: Shuai Wang Course Goal In depth understanding of modern computer architecture,

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

微控制器市场及应用 分析和预计

微控制器市场及应用 分析和预计 STM32 全面释放创造力, 服务今日, 开创未来 微控制器市场及应用 分析和预计 微控制器整体市场分析 3 微控制器在工业和医疗应用市场趋势 s) n ilio M $ S (U s e u n v e e R 7,000.0 6,000.0 5,000.0 4,000.0 3,000.0 2,000.0 1,000.0 Industrial & M edical revenues trend (source:

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

MCU Keysight CX GSa/s pa 10 A 200 MHz CX3300 FFT

MCU Keysight CX GSa/s pa 10 A 200 MHz CX3300 FFT Keysight Technologies CX3300 MCU MCU Keysight CX3300 2 4 1 GSa/s 14 16 150 pa 10 A 200 MHz CX3300 FFT 03 Keysight CX3300-1 µa 10 ma 1 ma Keysight CX3300 MCU 1 CX3300 14 16 200 MHz 1 GHz 256 MB CX3300 1

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

旋极视界装备测试性专刊总第18期.indd

旋极视界装备测试性专刊总第18期.indd 卷 首 语 FOREWORD THINKING 2015 年 装 备 测 试 性 专 刊 总 第 18 期 抓 住 机 遇 乘 势 而 上 北 京 旋 极 信 息 技 术 股 份 有 限 公 司 董 事 长 陈 江 涛 2014 年 是 我 国 经 济 进 入 新 常 态 的 开 局 之 年, 也 是 旋 极 科 技 为 全 面 建 成 国 际 一 流 的 信 息 技 术 服 务 企 业 奠 定 坚

More information

A 2006 2 1.1 1.2 1.3 1.4 1.5 1 : 2. 3. 4 5 1.1 1.1.1 1 1.1.1 2 CPU = + = CPU + = 1.1.1 3 : : 1.1.1 4 ROM 1.1.2 1 1946 6 John von Neumann : (1) (2) ( ) (3) ( ) ( ) (PC) (?) 2 3 : ADD ADD AX BX CPU ALU

More information