申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 :0571-

Size: px
Start display at page:

Download "申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 :0571-"

Transcription

1 第 4 章电阻测量模块 杭州艾研信息技术有限公司 2014 年 11 月 - 1 -

2 申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 : 技术支持邮箱 :support@hpati.com 产品 & 资料下载中心 : 互动论坛 : 公司地址 : 浙江省杭州市西湖区留和路 16 号新峰商务楼 B402 更多资讯请添加艾研信息官方微信 ( 搜索公众号 : 艾研 ) 或扫一 扫下方二维码 :

3 第 4 章电阻测量模块 精确电阻测量并非易事, 电阻测量模块提供了两种高精度测电阻的应用范例 : 电桥法测电阻和恒流源法测电阻 电桥法是将待测电阻代替原来已经平衡的电桥的一个桥臂, 采用基准源为电桥供电, 通过测量因电桥桥臂失衡产生的电压来获得待测电阻的值 ; 恒流源法是设计一个在一定负载范围内能提供精确恒定电流的恒流源, 待测电阻作为恒流源负载, 测量待测电阻两端的电压即可获得电阻阻值 为了提供性能比较, 模块同时搭配两种不同的放大采集电路 : 一路采用仪用运算放大器 INA333 提供高共模抑制比的信号放大功能, 再由 TIVA Cortex M4 自带的 12 位 ADC 进行数据采集 ; 另一路直接采用 型 ADC ADS1100 进行采集, 并通过 I 2 C 将数据传给 TIVA Cortex M4 通过在模块上的跳线配置可以实现两种不同的前端测试电路和两种放大采集电路的交叉搭配, 测试并比较不同配置方式的性能指标, 可获得对不同测量方式和不同电路特性的认识 1

4 2

5 3

6 4

7 5

8 6

9 软件流程图 1 程序启动 2 液晶初始化 3 ADC 采样初始化 4 I2C 初始化 5 液晶显示字符 5 程序主循环 读取电压 ADC 显示码值 通过 I2C 读取 ADS1100 数据显示原始数据 系统延时 结束 是 程序终止 否 ADC 采样外设端口的电平根据和参考电平的比较, 将数字量化输出 PB4 采集电平转化的 ADC 采样值 ADS1100 芯片通过 I2C 通信协议与 TIVA LaunchPad 进行通信和数据交换 外设端口 PA6 PA7 设置为 I2C 的时钟和数据信号线, 实现与 TIVA 的通信 LCD 显示诸如 INA333 Measure:xx V, ADS1100 Measure: xx V 等信息, 便于实验过程中观察实验数据变化 周期性的通过 ADC 采样获取电平的 ADC 采样值, 并在 LCD 直接显示出 ADC 的转化码值 1 2 电阻测量模块流程图 USB 线连接 TIVA LaunchPad 和计算机, 使用 CCS 软件烧写程序 完成烧写后,TIVA LaunchPad 上电后自行运行程序 液晶 (LCD) 初始化详细内容参见第三章 LCD 模块相关内容 周期性的通过 I2C 通信采样获取 ADS1100 采样码值并通过 LCD 直接显示 为了防止 LCD 显示内容过快的重复刷新, 通过主动系统延时将主循环控制在较低的刷新频率上 ( 如 5Hz) 当 TIVA LaunchPad 掉电后, 程序会跳出主循环, 程序终止 7

10 关键代码分析 根据软件流程图的分析可知 获取两种不同方式的电压数字量, 一个需要初始化 Tiva LaunchPad 的 ADC 功能并实时采样 ; 一个需要初始化 I2C 通信并将接收到的数据合成为有效数据 ADC 的初始化和数据采样 1 ADC 初始化 /******************************************************************** * 初始化 ADC 获取滚轮电压值, 用于电桥电路测量电阻 * // // M4 PB4 <--ADC0 模数转换信号源 // ********************************************************************/ #define ADC_BASE ADC0_BASE // 使用 ADC0 #define SequenceNum 3 // 使用序列 3 void Init_ADC_Detect(){ // 使能 ADC0 外设 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_ADC0); 8

11 } // 使能 Port B 外设端口 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOB); // 选择 PB4 作为模数装换 ADC 的管脚 ROM_GPIOPinTypeADC(GPIO_PORTB_BASE, GPIO_PIN_4); // 配置采样序列的触发源和优先级 ROM_ADCSequenceConfigure(ADC_BASE, SequenceNum, ADC_TRIGGER_PROCESSOR, 0); // 配置采样序列发生器的步进 ROM_ADCSequenceStepConfigure(ADC_BASE, SequenceNum, 0, ADC_CTL_CH10 ADC_CTL_IE ADC_CTL_END); // 使能一个采样序列 ROM_ADCSequenceEnable(ADC_BASE, SequenceNum); // 清除采样序列中断源 ROM_ADCIntClear(ADC_BASE, SequenceNum); 2 ADC 数据采样在程序主循环中以一定更新频率的不断采样 ADC 外设端口的电压值 while(1) { // 对 while 做 125ms 的延时, 每秒刷新频率为 8Hz 9

12 ROM_SysCtlDelay(SysCtlClockGet() / 3 / 30); } //ADC 测电阻 ADCProcessorTrigger(ADC_BASE, SequenceNum); // 等待完成 AD 转换 while(!adcintstatus(adc_base, SequenceNum, false)) { } // 清楚 ADC 中断标志位 ADCIntClear(ADC_BASE, SequenceNum); // 读取 ADC 值 ADCSequenceDataGet(ADC_BASE, SequenceNum, pui32adc0value); // 根据参考电平 3.3V 将获取的数字量转化为实际电压值 sample_bridge_average = (pui32adc0value[0] * 3300) / 4096;... 通过 I 2 C 控制 ADS1100, 采集电压值 1 I 2 C 通信初始化 /******************************************************************** 10

13 * 初始化 AI2C 获取 ADS1100 上的 ADC 电压数据, 用于恒流源测量电阻 * // // M4 PA6 <--SCL I2C 协议时钟信号 // PA7 <--SDA I2C 协议数据信号 // ********************************************************************/ void Init_I2C_Comm() { // 使能 I2C1 外设 SysCtlPeripheralEnable(SYSCTL_PERIPH_I2C1); // 使能 PortA 外设端口 SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOA); // 配置 PA6 PA7 为上拉端口 GPIOPadConfigSet(GPIO_PORTA_BASE, GPIO_PIN_6 GPIO_PIN_7, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); // PA6 配置为 I2C 协议时钟信号 PA7 配置为 I2C 协议数据信号 GPIOPinConfigure(GPIO_PA6_I2C1SCL); 11

14 GPIOPinConfigure(GPIO_PA7_I2C1SDA); GPIOPinTypeI2C(GPIO_PORTA_BASE, GPIO_PIN_6 GPIO_PIN_7); GPIOPinTypeI2CSCL(GPIO_PORTA_BASE, GPIO_PIN_6); // 初始化 I2C 主机模块 设置总线速度和使能主机模块 I2CMasterInitExpClk(I2C1_BASE, SysCtlClockGet(), false); } // 使能 I2C 主机模块 I2CMasterEnable(I2C1_BASE); 2 获取 ADS1100 通过 I2C 协议传输到 Tiva 的 ADC 数据 /******************************************************************** * 获取 ADS1100 上采集到的 ADC 数据 * 通信协议 : 1 设置读取的 I2C 从机地址 (ADS1100); * 2 获取 16Bit ADC 电压数据中的高 8Bit; * 3 获取 16Bit ADC 电压数据中的低 8Bit; * 4 得到 ADS1100 的配置信息 ********************************************************************/ 12

15 uint32_t I2C_ADC_OpReg_MSB_i; // 保存通过 I2C 读取 ADS1100 的 16 位 AD 的高字节 uint32_t I2C_ADC_OpReg_LSB_i; // 保存通过 I2C 读取 ADS1100 的 16 位 AD 的高字节 uint32_t I2C_ADC_ConfigReg_i; #define DELAY_6MS (SysCtlClockGet() / 3) / void CatchI2C() { // 恒流源测电阻 I2CMasterSlaveAddrSet(I2C1_BASE, SLAVE_ADDRESS, true); //############################################### I2CMasterControl(I2C1_BASE, I2C_MASTER_CMD_BURST_RECEIVE_START); while(i2cmasterbusy(i2c1_base)); I2C_ADC_OpReg_MSB_i = I2CMasterDataGet(I2C1_BASE); //############################################### I2CMasterControl(I2C1_BASE, I2C_MASTER_CMD_BURST_RECEIVE_CONT); while(i2cmasterbusy(i2c1_base)); I2C_ADC_OpReg_LSB_i = I2CMasterDataGet(I2C1_BASE); //############################################### I2CMasterControl(I2C1_BASE, I2C_MASTER_CMD_BURST_RECEIVE_FINISH); while(i2cmasterbusy(i2c1_base)); I2C_ADC_ConfigReg_i = I2CMasterDataGet(I2C1_BASE); 13

16 } 3 将 ADC 数据转化为实时电压数据 // 将高 8 位数据放置高 8 位上 temp1 = (I2C_ADC_OpReg_MSB_i & 0x000000FF) << 8 ; // 将低 8 位数据放置低 8 位上 temp2 = (I2C_ADC_OpReg_LSB_i & 0x000000FF); // 合并高低位数据为完整的采样数据 temp3 = (int16_t)(temp1 + temp2); 4 得到的 temp3 就是最终 ADS1100 获得的 16 位电压数据采样值 记录下后可用于后面的数据测试和分析 14

17 15

18 16

19 17

20 第 5 章程控增益放大模块 杭州艾研信息技术有限公司 2014 年 11 月 - 1 -

21 申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 : 技术支持邮箱 :support@hpati.com 产品 & 资料下载中心 : 互动论坛 : 公司地址 : 浙江省杭州市西湖区留和路 16 号新峰商务楼 B402 更多资讯请添加艾研信息官方微信 ( 搜索公众号 : 艾研 ) 或扫一 扫下方二维码 :

22 第 5 章程控增益放大模块 - 1 -

23 - 2 -

24 3

25 1 程序成功烧写后,TIVA LaunchPad 上电后可启动程序运行 程序主循环 6 2 LCD 初始化包括 LCD 端口使能 SSI 通信协议配置 LCD 配置初始化 LCD 清屏 4 个步骤 每个步骤详情请见本书第三章 程序启动 1 读取滚轮 ADC 显示码值并发送至 DAC ADC 采样初始化有两个部分 : 滚轮电阻采样初始化 (PE0) 和峰值检测模块电压采样初始化 (PD2) ADC 采样初始化步骤 :1 使能 ADC 模块外设 2 配置相关 GPIO 为 ADC 功能 3 ADC 采样序列配置 4 ADC 采样序列步进配置 5 使能采样序列并清除中断标志 LCD 初始化 ADC 采样初始化 SSI 初始化 读取电压 ADC 采样值并进行峰值检测 系统延时 7 8 否 4 SSI 初始化负责完成与 DAC8802 之间通信的所有信号线的配置 包括 PF2 PF3 PF1 PB2 PB3 PC4, 其中 PF2 PF3 PF1 配置成 SSI 通信端口, 其余这使能为端口输出 SSI 初始化步骤 :1 使能 SSI 外设模块 2 配置相关 GPIO 复用功能为 SSI 模块功能并为 SSI 模块通信使用 3 SSI 通信模式 时钟频率设置和数据位设置 4 使能 SSI LCD 显示字符 5 5 结束 是 程序终止 5 在 LCD 上显示 Peak detection voltage:xx V, 等信息, 便于实验过程中观察实验数据变化 6 在程序主循环中, 读取滚轮 ADC 采样值,TIVA 的 AD 是 12-Bit, 而 DAC8802 的 DA 是 14-Bit, 需要通过相应的转换得到 DAC8802 对应的码值并将码值发送至 DAC8802, 同时将码值显示到 LCD 上 读取 ADC 采样值的程序部分详见本书第三章 7 读取电压 (PD2)ADC 采样值, 通过相应的转换公式转换成实际电压值并进行峰值检测, 将检测到的峰值显示在 LCD 上, 便于观察 此处读取 ADC 采样值的程序部分可参考本书第三章 8 为防止 LCD 过快重复刷新, 系统进行延时将主循环控制在一个合理的执行速度内 在程序设计过程中主要涉及到 LCD 显示,ADC 采样以及 SSI 通信, 其中 LCD 显示和 ADC 采样功能设置可参考本书第三章相关内容 Xxx SPI(SSI) 通信 4

26 DAC8802 采用 SPI( 相当于 Tiva M4 的 SSI 协议 ) 通信协议进行数据传输 DAC8802 是 14-bit 的 DAC, 而串行数据锁存在 DAC8802 的串 行输入寄存器 (serial input register) 中, 该寄存器为 16-bit 即两个字节长度 (2-bit 的地址 :A1-A0, 以及 14 的 DA 数据 :D13-D0) 寄存器数据格 式如下 : 表 xx SPI 寄存器数格式 Bit B15 B14 B13 B12 B11 B10 B9 B8 B7 B6 B5 B4 B3 B2 B1 B0(LSB) Data A1 A0 D13 D12 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 DAC8802 内部有两个 DAC, 分别为 DAC A 和 DAC B, 其中 DAC A 为放大功能,DAC B 为衰减功能, 程序控制 DAC8802 的放大和衰减功 能就是选择使能其中一个 DAC 模块 该两个模块的选择通过串行输入寄存器中地址位 :A1 和 A0 进行选择 表 xx 地址位设置 A1 A0 使能 DAC 模块 0 0 None 0 1 DAC A 1 0 DAC B 1 1 DAC A 和 DAC B 程序上的实现可以采用 #define 宏定义, 然后在发送数据时将定义好的地址值加上待发送数据即可 宏定义代码如下 : #define DAC_A 0x4000 #define DAC_B 0x8000 #define DAC_AB 0xC000 //DAC A //DAC B //DAC A 和 DAC B SPI(SSI) 通信配置函数 DAC8802 通过 CS( 低电平有效 ),SDI,SCK 三线控制数据的传输, 其对应 Tiva M4 中的 SSIFss,SSITx,SSIClk 线, 相应配置代码如下 : /******************************************************************** SSI 模块使能, 并且设置相关端口初始状态 5

27 none none * * * PF2(SSI1Clk) -->SPICLK 时钟信号端 * TIVA PF3(SSI1Fss) -->SYNC 帧信号端 * PF1(SSI1Tx) -->SDIN SSI 数据发送端 (LM4F120->DAC8802) * PB2(GPIO) -->LDAC * PB3(GPIO) -->RS * PC4(GPIO) -->MSB * * ********************************************************************/ void ssi_en() { // 使能外设 SSI1 模块 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_SSI1); // 使能 SSI1 使用的外设 GPIOF ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOF); //SSI1 端口功能使能 //PF2 复用功能配置为 SSI1CLK, 时钟线 ROM_GPIOPinConfigure(GPIO_PF2_SSI1CLK); //PF3 复用功能配置为 SSI1FSS, 片选线 ROM_GPIOPinConfigure(GPIO_PF3_SSI1FSS); //PF1 复用功能配置为 SSI1TX, 数据发送线 6

28 ROM_GPIOPinConfigure(GPIO_PF1_SSI1TX); //LDAC 置高 ROM_GPIOPinTypeGPIOOutput(GPIO_PORTB_BASE, GPIO_PIN_2); ROM_GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_2, GPIO_PIN_2); //RS 置高 ROM_GPIOPinTypeGPIOOutput(GPIO_PORTB_BASE, GPIO_PIN_3); ROM_GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_3, GPIO_PIN_3); //MSB 置高 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOC); ROM_GPIOPinTypeGPIOOutput(GPIO_PORTC_BASE, GPIO_PIN_4); ROM_GPIOPinWrite(GPIO_PORTC_BASE, GPIO_PIN_4, GPIO_PIN_ 配置 PF1,PF2,PF3 供外设 SSI1 使用 ROM_GPIOPinTypeSSI(GPIO_PORTF_BASE, GPIO_PIN_1 GPIO_PIN_2 GPIO_PIN_3); // 端口模式 :1M,16 位数据 ROM_SSIConfigSetExpClk(SSI1_BASE, ROM_SysCtlClockGet(), SSI_FRF_MOTO_MODE_0, SSI_MODE_MASTER, , 16); } // 使能 SSISSIEnable(SSI1_BASE); 程序还涉及到 DAC8802 另外三根线的配置 :LDAC 置高,RS 置高,MSB 置高 其中 LDAC 和 RS 都是低电平有效 LDAC 控制 DAC8802 的输出,RS 和 MSB 信号线连接至 DAC8802 内部的上电复位模块, 复位时若 MSB=0, 则所有寄存器值为 0x0000, 若 MSB=1, 则所有寄存器值为 0x2000 DAC8802 的时序图如下 : 7

29 图 xx 时序图 根据时序图可以完成 DAC8802 跟 Tiva M4 之间的数据传输 在 SPI(SSI) 配置程序中, 时序图中的 SDI,CLK 以及 CS 线都配置成了 Tiva M4 中的 SSI 功能, 在数据传输过程中这三根信号线上的电平变化都由 Tiva M4 的 SSI 模块自行控制 而 LDAC 线配置成普通的 GPIO 功能, 则改线上的 电平变化需要自行控制 SPI(SSI) 传输程序代码如下 : /************************************************************** 向 dac8802 发送数据 unsigned long val, 取值范围 0~ , 参数不正确 ; * 1, 传输成功 ; **************************************************************/ unsigned char ssi_send_2_dac8802(unsigned long val) {val > 16384) return 0; ROM_SSIDataPut(SSI1_BASE, DAC_AB + val); // 发数据 + while(rom_ssibusy(ssi1_base)); // 等待发送完成 // 数据发送结束时,LDAC 线需要一个电平的跳变 (H->L->H) ROM_GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_2, 0); delay(); ROM_GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_2, GPIO_PIN_2); 8

30 delay(); return 1; } 程序传输中使用 ROM_SSIDataPut 就可完成数据发送, 发送的数据格式地址 + 数据即程序中的 DAC_AB+val, 表示的是使能 DAC A 和 DAC B 同时使用两个 DAC 模块,val 即为需要发送的数据 此时同时完成放大和衰减, 如果只要单独使用放大或者衰减, 则发送数据时自需要变成 DAC_A+val 或 DAC_B+val 在数据发送完成后需要完成一个 LDAC 信号线的电平转变, 完成 DAC8802 模块的输出 9

31 10

32 11

33 12

34 13

35 14

36 第 9 章宽带压控增益模块 杭州艾研信息技术有限公司 2014 年 11 月 - 1 -

37 申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 : 技术支持邮箱 :support@hpati.com 产品 & 资料下载中心 : 互动论坛 : 公司地址 : 浙江省杭州市西湖区留和路 16 号新峰商务楼 B402 更多资讯请添加艾研信息官方微信 ( 搜索公众号 : 艾研 ) 或扫一 扫下方二维码 :

38 2

39 实验程序使用按键 S3 选择当前程序工作在实验 B, 实验 C 还是实验 D, 这三个实验程序上唯一的区别是 : 在程序刚运行时三个实验的 DAC7311 的初始值不同, 其实部分都是一致的 ; 使用按键 S1 和 S2 完成 VC 端电压值的调节, 同时改变 DAC7311 的工作值, 在液晶上同步显示当前 VC 端电压值 ; 通过 SSI 传输协议改变 DAC7311 的工作值 ; 程序成功烧写后,TIVA LaunchPad 上电后可启动程序运行 LCD 初始化包括 LCD 端口使能 SSI 通信协议配置 LCD 配置初始化 LCD 清屏 4 个步骤 每个步骤详情请见本书第三章 按键初始化, 配置 S1(PC7),S2(PD6),S3(PD7) 输入模式 注意 :PD7 口默认锁定功能为 NMI, 使用 GPIO 功能时需要解锁定 SSI 初始化, 完成与 DAC7311 之间通信的所有信号线的配置,PF2 配置成时钟信号线,PF3 配置成帧信号端,PF1 配置成数据发送线 SSI 初始化步骤 :1 使能 SSI 外设模块 2 配置相关 GPIO 复用功能为 SSI 模块功能并为 SSI 模块通信使用 3 SSI 通信模式 时钟频率设置和数据位设置 4 使能 SSI 在 LCD 上初始显示 ssi:xxxx, 表示 DAC7311 当前码值,vc:xxxxmV, 表示电路 vc 端的当前电压值, 便于实验过程中观察实验数据变化 6 按键扫描, 按下 S1 对 DAC7311 当前码值增加一个步进值, 按下 S2 对 DAC7311 当前码值减少一个步进值, 按下 S3 进行三种工作模式 (LAB1,LAB2,LAB3) 之间的切换, 并显示在 LCD 上 程序启动 LCD 初始化 按键初始化 SSI 通信初始化 LCD 显示字符 程序主循环 按键扫描获取按键值进行工作模式选择或者码值增减 发送码值至 DAC7311, 计算输出电压 系统延时 结束 是 程序终止 7 通过 SSI 发送码值至 DAC7311, 并通过相应的电压转换公式计算电路 vc 端的电压值, 显示在 LCD 上, 电压值范围 (-3.3V 3.3V) 8 为防止 LCD 过快重复刷新, 系统进行延时将主循环控制在一个合理的执行速度内 否 图 xx 程序流程图 LCD 显示部分程序可参考本书第三章 按键功能实验程序需要使用 LCD 开发板上的所有按键 :S1 S2 S3 程序使用按键扫描完成端口状态的读取, 在按键的初始化配置中需要注意的是 S3 的配置, 因为 S3 连接在端口 PD7, 而 PD7 口已经被锁定为 NMI(non-maskable interrupt, 不可屏蔽中断 ) 功能, 所以在使用该端口时需要先解除锁定, 使其能够配置成 GPIO 功能 解除锁定代码如下 : // 解锁 HWREG(GPIO_PORTD_BASE+GPIO_O_LOCK) = GPIO_LOCK_KEY; HWREG(GPIO_PORTD_BASE+GPIO_O_CR) = (1<<7); HWREG(GPIO_PORTD_BASE+GPIO_O_DEN) &=(~(1<<7)); HWREG(GPIO_PORTD_BASE+GPIO_O_PDR) &= (~(1<<7)); 3

40 HWREG(GPIO_PORTD_BASE+GPIO_O_PUR) &= (~(1<<7)); HWREG(GPIO_PORTD_BASE+GPIO_O_AFSEL) &=(~(1<<7)); 完成解锁后 PD7 口就可以跟 PC7,PD6 一样配置初始化使用 按键使用端口的初始化程序代码如下 : 对端口 C D 进行按键初始化 none none * * * PC7 <--Button1 * TIVA PD6 <--Button2 * PD7 <--Button3 * * 注 :PD7 口默认锁定功能为 NMI, 使用其 GPIO 功能时需要解锁定再配置成 GPIO 功能 ***********************************************************/ void Init_Key() { // // 解锁 HWREG(GPIO_PORTD_BASE+GPIO_O_LOCK) = GPIO_LOCK_KEY; HWREG(GPIO_PORTD_BASE+GPIO_O_CR) = (1<<7); HWREG(GPIO_PORTD_BASE+GPIO_O_DEN) &=(~(1<<7)); HWREG(GPIO_PORTD_BASE+GPIO_O_PDR) &= (~(1<<7)); HWREG(GPIO_PORTD_BASE+GPIO_O_PUR) &= (~(1<<7)); HWREG(GPIO_PORTD_BASE+GPIO_O_AFSEL) &=(~(1<<7)); // // 初始化外设 GPIO ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOC); ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOD); // 设置 PD 为 2MA, 若上拉输出 ROM_GPIOPadConfigSet(GPIO_PORTC_BASE, GPIO_PIN_7, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); ROM_GPIOPadConfigSet(GPIO_PORTD_BASE, GPIO_PIN_6, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); 4

41 } ROM_GPIOPadConfigSet(GPIO_PORTD_BASE, GPIO_PIN_7, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); // 设置 GPIO 输入模式 ROM_GPIODirModeSet(GPIO_PORTC_BASE, GPIO_PIN_7, GPIO_DIR_MODE_IN); ROM_GPIODirModeSet(GPIO_PORTD_BASE, GPIO_PIN_6, GPIO_DIR_MODE_IN); ROM_GPIODirModeSet(GPIO_PORTD_BASE, GPIO_PIN_7, GPIO_DIR_MODE_IN); 按键扫描程序直接使用 ROM_GPIOPinRead() 进行读取按键所在端口的状态值, 三个 按键对应不同的功能 :S3 选择实验项目,S1 增大 DAC7311 工作值使 VC 端电压增大,S2 减小 DAC7311 工作值使 VC 端电压减小 程序扫描三个端口即 PC7:S1 按键端口 ;PD6: S2 按键端口 ;PD7:S3 按键端口 按键扫描程序代码如下 : /****************************************************************** ** 按键扫描函数 none 0x00 没有键按下 * 0x01 按下 PC7,S1 * 0x02 按下 PD6,S2 * 0x03 按下 PD7,S3 * * * PC7 <--Button1 * TIVA PD6 <--Button2 * PD7 <--Button3 * * ******************************************************************* */ unsigned char scan_key(void) { if (ROM_GPIOPinRead(GPIO_PORTC_BASE, GPIO_PIN_7) == 0x00) 5

42 { } // 延时约 10ms, 消除按键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); KEY 抬起 while (ROM_GPIOPinRead(GPIO_PORTC_BASE, GPIO_PIN_7) == 0x00); // 延时约 10ms, 消除松键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); return 0x01; }ROM_GPIOPinRead(GPIO_PORTD_BASE, GPIO_PIN_6) == 0x00) { 约 10ms, 消除按键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); // 等待 KEY 抬起 while (ROM_GPIOPinRead(GPIO_PORTD_BASE, GPIO_PIN_6) == 0x00); // 延时约 10ms, 消除松键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); return 0x02; } if (ROM_GPIOPinRead(GPIO_PORTD_BASE, GPIO_PIN_7) == 0x00) { // 延时约 10ms, 消除按键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); // 等待 KEY 抬起 while (ROM_GPIOPinRead(GPIO_PORTD_BASE, GPIO_PIN_7) == 0x00); // 延时约 10ms, 消除松键抖动 ROM_SysCtlDelay(10*(ROM_SysCtlClockGet() / 3000)); return 0x03; } return 0; 按键扫描函数的返回值表示实验中按下了不同按键, 根据该值就可以完成工作模式转 换或者是 VC 端电压的增减 按键响应程序代码如下 : key_val = scan_key(); if(key_val) { switch(key_val) { // 按下 S1( 按键 1), 增加 // 键扫描 6

43 } } case 0x01: //... break; // 按下 S2( 按键 2), 减小 case 0x02: //... break; // 按下 S3( 按键 3), 工作模式的切换 ( 实验之间的切换 ) // 切换工作模式主要改变的是 dac7311 工作的默认码值 (VC 端 ) // 开始工作的电压 case 0x03: switch(key3_presscount) { // 工作模式 1: 带宽压控增益放大与衰减 case 1: //... break; // 工作模式 2: 正反馈 RC 震荡器 case 2: //... break; // 工作模式 3: 自稳幅闭环振荡器 case 3: //... break; default: break; } Key3_PressCount++; if(key3_presscount > 3) { Key3_PressCount = 1; } default: break; } 7

44 SSI 通信功能 DAC7311 与 Tiva M4 之间通过 SSI(SPI) 通信完成 DAC7311 是 12-bit 的 DAC, 其 内部有一个 16-bit 的寄存器 寄存器格式如下 : Bit B15 B14 B13 B12 B11 B10 B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 Data PD1 PD2 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 X X 寄存器低两位为无效位,B2~B13 为数据位, 高两位 B14(PD2),B15(PD1) 为控制选择 位表示 DAC7311 不同的工作模式 : 一种正常工作模式, 三种 power-down 工作模式 在实 验程序只使用正常工作模式, 这两位都配置为 0 DAC7311 由 SYNC,SCLK,DIN 三线控制 SYNC 为信号选择线, 相当于 Tiva M4 的 SSIFss 信号线 SCLK 为时钟信号线, 相当于 Tiva M4 的 SSIClk 信号线 DIN 为数据 线, 相当于 Tiva M4 的 SSITx 信号线 SSI(SPI) 通信程序设置如下 : /****************************************************************** ** SSI 初始化设置 none none * * * * PF2(SSI1Clk) -->SPICLK 时钟信号端 * TIVA PF3(SSI1Fss) -->SYNC 帧信号端 * PF1(SSI1Tx) -->SDIN SSI 数据发送端 (LM4F120->DAC7811) * * ******************************************************************* */ void ssi_en() { // 使能 SSI1 外设 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_SSI1); // 使能 SSI1 使用的 GPIOF 外设 ROM_SysCtlPeripheralEnable(SYSCTL_PERIPH_GPIOF); // 配置 PF2 复用功能为 SSI1CLK, 时钟线 8

45 ROM_GPIOPinConfigure(GPIO_PF2_SSI1CLK); // 配置 PF3 复用功能为 SSI1FSS, 片选线 ROM_GPIOPinConfigure(GPIO_PF3_SSI1FSS); // 配置 PF1 复用功能为 SSI1TX, 数据发送线 ROM_GPIOPinConfigure(GPIO_PF1_SSI1TX); } // 配置 PF1,PF2,PF3 供 SSI1 使用 ROM_GPIOPinTypeSSI(GPIO_PORTF_BASE, GPIO_PIN_1 GPIO_PIN_2 GPIO_PIN_3); // 端口模式 :1M,16 位数据 ROM_SSIConfigSetExpClk(SSI1_BASE, ROM_SysCtlClockGet(), SSI_FRF_TI, SSI_MODE_MASTER, , 16); ROM_SSIEnable(SSI1_BASE); DAC7311 寄存器的低两位无效, 所以在 SSI 通信程序实现中需要将发送的数据左移两位, 然后再通过 SSI 进行传输 程序代码实现如下 : /****************************************************************** ******** 发送数据到 dac7311 val 取值范围 0~ 参数不正确 ; * 1 传输成功 ; *************************************************************/ unsigned char ssi_send_2_dac7311(unsigned long val) { if(val > 4095) return 0; // 判断参数正确与否 val = val << 2; // 左移两位,DAC7311 内部寄存器低两位无效 ROM_SSIDataPut(SSI1_BASE, val); // 发送数据 while(rom_ssibusy(ssi1_base)); // 等待发送完成 return 1; } 实验程序需要在 LCD 上显示当前 VC 端的电压值,VC 端的电压可以通过 DAC7311 的输出电压换算得到 DAC7311 的输出电压计算公式 : 9

46 式中 out V V D 2 out DD n V 是 DAC7311 的输出电压, V DD 是 DAC7311 的输入电压, D 是 Tiva M4 通过 SSI 传输给 DAC7311 的工作值, D 0, 4095, n 是 DAC7311 的 DA 位数, 该值为 12 电路中 V 3.3V, 故 DAC7311 的输出电压为 0 ~ 3.3V, 该电压通过 LMP7701 构 DD 成的双极性输出电路将电压值转换为 3.3 V ~ 3.3V, 即为 VC 端的电压 在程序设计中不涉及负值的处理, 故可以将 3.3 V ~ 3.3V 在程序中提升为 0 ~ 6.6V 程序中可以通过如 下方式计算出 VC 端的电压 故 VC 端电压为 : D V 2 VDD V 0,6.6V n V 0 V 3.3 VC V V 6.6 程序中扩大 1000 倍计算, 显示成 mv 档 程序代码如下 : // 计算 VC 端的电压值 VC_Value = (dac7311_val*3300*2) / 4096; // 根据 3300( 中间值, 进行正负显示处理 ) int show_val; if(vc_value > 3300) { } else { } show_val = VC_Value ; show_val = VC_Value; 程序代码中的 VC_Value 即为计算公式中的 V,show_val 计算得出的都是正值, 而根据程序数据跟 VC 端真实电压的对应关系可知当 VC_Value<3300( V 3.3 ) 时 VC 端电压为负值,LCD 上显示负值 ;VC_Value>3300( V 3.3) 时 VC 端电压为正值 LCD 上显 示正值 程序代码如下 : for(i = 0; i < 4; ++i) { if(vc_value < 3300) 10

47 { } // 在 LCD 上显示负号 LCD_Draw_Char('-', LINE_TWO, 50); } else { // 在 LCD 上清除负号 LCD_Draw_Char(' ', LINE_TWO, 50); } // 在 LCD 上显示 VC 端电压值 LCD_Draw_Char('0' + data1[i], LINE_TWO, * i); 不同的实验 VC 端以及 DAC7311 具有各自的初始默认值,VC 端的电压是通过 DAC7311 工作值计算获得 默认值在按键响应程序中设置 A 宽带压控增益放大和衰减该实验初始默认值 VC 端电压需要为负压, 保持在 -1.13V 根据计算公式换算, 在程序实现中保持 DAC7311 的工作值为 1350, 计算得出的 VC 端的电压为 V B 正反馈 RC 振荡器该实验初始默认值为 0 即可, 此时 DAC7311 的工作值为 2048 C 自稳幅闭环振荡器该实验初始默认值 VC 端电压需要为正压, 保持在 1.17V 根据计算公式换算, 在程序实现中保持 DAC7311 的工作值为 2775, 计算得出 VC 端电压为 1.171V 11

48 12

49 13

50 14

51 15

52 16

53 17

54 18

55 第 10 章频率与相位跟踪模块 杭州艾研信息技术有限公司 2014 年 11 月 - 1 -

56 申明 杭州艾研信息技术有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应的权利 用户在下订单前应及时获取相关信息的最新版本, 并验证这些信息是当前的和完整的 可通过如下方式获取最新信息 技术资料和技术支持 : 技术支持电话 : 技术支持邮箱 :support@hpati.com 产品 & 资料下载中心 : 互动论坛 : 公司地址 : 浙江省杭州市西湖区留和路 16 号新峰商务楼 B402 更多资讯请添加艾研信息官方微信 ( 搜索公众号 : 艾研 ) 或扫一 扫下方二维码 :

57 2

58 3

59 4

60 5

61 6

62 软件流程图 程序启动 程序主循环 初始化正弦表液晶初始化 1 计算频率计算相位差 主程序中断响应中断点定时器 Timer0A 中断中断返回中断响应 定时器 Timer0 初始化定时器 Timer1 初始化定时器 Timer2 初始化 5 PWM 初始化 显示输入信号的跟踪频率值 系统延时 结束 是 程序终止 否 中断点定时器 Timer1A 中断中断返回中断响应中断点定时器 Timer1B 中断中断返回中断响应中断点定时器 Timer2A 中断中断返回主程序 图 x 频率相位模块流程图 图 x 频率相位模块中断响应 1 创建 2K 大小数组存放标准的正弦表, 用于后面生成一个 SPWM 波形时校对其占空比值 正弦表的最大值即为 SPWM 波形的最大周期 程序创建一个信号跟踪采样频率为 10KHz 的定时器中断 每次响应中断会根据最新的频率和相位跟踪数据测算出 SPWM 的占空比值, 并调节 PWM 输出 5 Timer1 的 TimerA 开启捕获模式 TimerB 启动周期模式 两者结合配置共同承担检测计算信号频率的任务 Timer2A 的 TimerA 开启捕获模式来计算信号相位偏移 PWM 初始负责生成一个 10KHz 的 PWM 载波信号 通过响应 Timer0A 中断后调节该 PWM 波形的占空比来形成一个 SPWM 波 后续电路通过运放等电路合成跟踪信号 软件流程图如上图所示, 频率相位的程序相对而言较为复杂 1 生成一个由 2048 点脉宽信息组成的正弦表, 脉宽信息与正弦波幅值成一次函数关系 该表用于调整输出 PWM 信号的占空比值 2 定时器 Timer0 产生一个 10K 频率的定时周期响应, 每次响应都会调整 PWM 的输出占空比值 3 定时器 Timer1 中的 Timer1A 和 Timer1B 同时启动, 用于测量输入频率 其中 Timer1A 采用捕获模式响应输入信号的硬件中断 Timer2B 设定为溢出计时的工作模式 根据不同的输入频率计算输入信号的周期后换算得到信号频率 ; 如下图所示, 输入信号上升沿触发可以得到 T1,T2 两个中断点的定时器值 根据下图的构思可以得到输入信号的周期 (Tp): Tp = T2 T1 + To * M 7

63 Tp: 输入信号的周期 输入信号 : 时间轴 : To:Timer1B 计时溢出时间 t T1:Timer1A 捕获中断点时间 M: 统计两次中断中间的 Timer1B 溢出次数 信号频率测算示意图 T2:Timer1A 再次捕获中断点时间 最后根据系统频率信息就能够换算得到输入信号的精确频率信息 关键代码分析 1 初始化 Timer0 定时器, 生成 SPWM /************************************************************ 初始化 Timer0 定时器中断, 以 10K 的频率响应定时中断, * 通过调节 PWM 信号的占空比输出一组 SPWM 信号 null null ************************************************************/ void Init_Timer0_SPWM() { // Enable the peripherals used by this example. ROM_SysCtlPeripheralEnable (SYSCTL_PERIPH_TIMER0); // Enable processor interrupts. ROM_IntMasterEnable (); // Configure the two 32-bit periodic timers. ROM_TimerConfigure (TIMER0_BASE, TIMER_CFG_PERIODIC); 8

64 // 8K Hz 响应 ROM_TimerLoadSet (TIMER0_BASE, TIMER_A, ROM_SysCtlClockGet() / SAMPLE_FREQUENCY); // Setup the interrupts for the timer timeouts. ROM_IntEnable (INT_TIMER0A); ROM_TimerIntEnable (TIMER0_BASE, TIMER_TIMA_TIMEOUT); // Enable the timers. ROM_TimerEnable (TIMER0_BASE, TIMER_A); } 2 初始化 Timer1 定时器, 测算输入信号频率 /************************************************************ 初始化 Timer1 定时器中的 Timer1A 和 Timer1B 中断, 用于测算输入信号频率 * Timer1A 工作在捕获模式 ; * Timer1B 工作在计数溢出模式 ; null null ************************************************************/ void Init_Timer1_Frequency() { // 启用 Timer1 模块 ROM_SysCtlPeripheralEnable (SYSCTL_PERIPH_TIMER1); // 启用 GPIO_M 作为脉冲捕捉脚 ROM_SysCtlPeripheralEnable (SYSCTL_PERIPH_GPIOB); // 配置 GPIO 脚为使用 Timer4 捕捉模式 ROM_GPIOPinConfigure (GPIO_PB4_T1CCP0); ROM_GPIOPinTypeTimer (GPIO_PORTB_BASE, GPIO_PIN_4); // 为管脚配置弱上拉模式 ROM_GPIOPadConfigSet (GPIO_PORTB_BASE, GPIO_PIN_4, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); 9

65 // 配置使用 Timer4 的 TimerA 模块为边沿触发减计数模式 ROM_TimerConfigure (TIMER1_BASE, TIMER_CFG_SPLIT_PAIR TIMER_CFG_A_CAP_TIME TIMER_CFG_B_PERIODIC); // 使用下降沿触发 ROM_TimerControlEvent (TIMER1_BASE, TIMER_BOTH, TIMER_EVENT_NEG_EDGE); // 设置计数范围为 0x8FFF~0X8FFA ROM_TimerLoadSet (TIMER1_BASE, TIMER_A, 0xFFFF); ROM_TimerLoadSet (TIMER1_BASE, TIMER_B, 0xFFFF); // 注册中断处理函数以响应触发事件 TimerIntRegister(TIMER1_BASE, TIMER_A, Int_Timer1A_Handler); TimerIntRegister(TIMER1_BASE, TIMER_B, Int_Timer1B_Handler); // 系统总中断开 ROM_IntMasterEnable (); // 时钟中断允许, 中断事件为 Capture 模式中边沿触发, 计数到达预设值 ROM_TimerIntEnable (TIMER1_BASE, TIMER_CAPA_EVENT TIMER_TIMB_TIMEOUT); // NVIC 中允许定时器 A 模块中断 ROM_IntEnable (INT_TIMER1A INT_TIMER1B); } // 启动捕捉模块 ROM_TimerEnable (TIMER1_BASE, TIMER_BOTH); 3 初始化 Timer2 定时器, 计算输入输出信号相位差 : /************************************************************ 初始化 Timer2 定时器中的 Timer2A 中断, 用于测算输入信号和输出信号的时间差 * Timer2A 工作在捕获模式 ; null null ************************************************************/ 10

66 // 初始化相位跟踪 void Init_Timer2_Phase() { // 启用 Timer4 模块 ROM_SysCtlPeripheralEnable (SYSCTL_PERIPH_TIMER2); // 启用 GPIO_M 作为脉冲捕捉脚 ROM_SysCtlPeripheralEnable (SYSCTL_PERIPH_GPIOF); // 配置 GPIO 脚为使用 Timer4 捕捉模式 ROM_GPIOPinConfigure (GPIO_PF4_T2CCP0); ROM_GPIOPinTypeTimer (GPIO_PORTF_BASE, GPIO_PIN_4); // 为管脚配置弱上拉模式 ROM_GPIOPadConfigSet (GPIO_PORTF_BASE, GPIO_PIN_4, GPIO_STRENGTH_2MA, GPIO_PIN_TYPE_STD_WPU); // 配置使用 Timer4 的 TimerA 模块为沿触发加计时模式 ROM_TimerConfigure (TIMER2_BASE, TIMER_CFG_SPLIT_PAIR TIMER_CFG_A_CAP_TIME); // 使用下降沿触发 ROM_TimerControlEvent (TIMER2_BASE, TIMER_A, TIMER_EVENT_NEG_EDGE); // 设置计数范围为 0~0x8FFF ROM_TimerLoadSet (TIMER2_BASE, TIMER_A, 0xFFFF); // 注册中断处理函数以响应触发事件 TimerIntRegister(TIMER2_BASE, TIMER_A, Int_Timer2A_Handler); // 系统总中断开 ROM_IntMasterEnable (); // 时钟中断允许, 中断事件为 Capture 模式中边沿触发 ROM_TimerIntEnable (TIMER2_BASE, TIMER_CAPA_EVENT); // NVIC 中允许定时器 A 模块中断 ROM_IntEnable (INT_TIMER2A); 11

67 } // 启动捕捉模块 ROM_TimerEnable (TIMER2_BASE, TIMER_A); 4 频率计算方法 : // 统计频率信号 void Int_Timer1A_Handler(void) { // 最后一次 Timer 的计数值 uint32_t CapTimer_Fre = 0; // 清除中断标志位 ROM_TimerIntClear (TIMER1_BASE, ROM_TimerIntStatus (TIMER1_BASE, false)); ROM_TimerEnable (TIMER1_BASE, TIMER_A); Sample_Index = 0; // 获取当前 Timer1 的计数值 CapTimer_Fre = TimerValueGet(TIMER1_BASE, TIMER_B); // 当两次中断之间的时间差超过了一个 Timer1B 的计数周期时, 需要补加一个 Timer1B 的计数值再相减 if (Fre_TimerOutCount >= 1) fre_temp_tick = CapTimer_Fre_Ori + ((Fre_TimerOutCount - 1) << 16 )//* TIMER_TOTAL_COUNTNUM) + (TIMER_TOTAL_COUNTNUM - CapTimer_Fre); else // 若两次中断的响应在一个周期内, 则直接用前一次的计数器值减去后一次的计数器值 fre_temp_tick = CapTimer_Fre_Ori - CapTimer_Fre; // 统计多个时间差值, 统计取平均值 fre[fre_frequece_index++] = (TIVA_MAIN_FREQUENCY / fre_temp_tick);//frequency_detect(fre_temp_tick, Fre_TimerOutCount); // 将计算所得频率放入频率数组中 if (Fre_Frequece_Index >= FREQUENCY_AVARAGE_NUM) 12

68 { // 取平均处理 Fre_Cur_Frequency = average_float(fre, FREQUENCY_AVARAGE_NUM, FREQUENCY_CUT_NUM); // 计算不同频率对应步进值 Phase_step_N = Fre_Cur_Frequency * SIN_TABLE_N / SAMPLE_FREQUENCY; // 统计值存放队列清空 Fre_Frequece_Index = 0; } // 中断处理结束, 将当前计数值转化为上次中断计数值用于下次计算差值 CapTimer_Fre_Ori = CapTimer_Fre; } Fre_TimerOutCount = 0; 5 在 Timer1B 的中断响应中, 统计出输入信号两次上升沿捕获中断之间 Timer1B 计数器的计数溢出次数 : // 统计 Timer1B 计数溢出的次数 void Int_Timer1B_Handler(void) { // 清除中断标志位 ROM_TimerIntClear (TIMER1_BASE, ROM_TimerIntStatus (TIMER1_BASE, true)); ROM_TimerEnable (TIMER1_BASE, TIMER_B); // 统计 Timer1B 计数溢出的次数 Fre_TimerOutCount++; } 13

69 14

70 1 理解原理图以后编写 Launchpad 代码, 代码可参考网上资源 然后烧写代码 2 在母板上 TIVA 液晶 MDAC 模块连接完成, 准备实验 3 跳帽的连接 : 如图和图 在频率相位模块上用跳帽将 J2 的 3 2 和 J3 的 1 2 连接 4 仪器连接: 示波器两个表笔分别连接到图所示的测试点 和图所示的测试点 注意示波器不要忘了图的接地 5 信号输入: 将信号发生器的表笔连接到图 J1 的 IN+, 输入频率 100Hz 的正弦波, 信号发生器同样要注意接地如图 6 打开 TIVA 开关, 可以观察到 LED 点亮, 在液晶上能看到信号发生器输入的正弦波的频率 同时在示波器上能看到两路信号 一路是 TIVA 经滤波迟滞比较以后的方波 另一路是信号发生器, 经过迟滞比较以后的正弦波 观察两路信号比较异同, 分析其产生的原理 频率相位跟踪实验 15

71 软件流程图及关键代码分析 本实验和 SPWM 波的生成与正弦波发生实验一致, 所以软件流程也是相同的 定时器 Timer2 采用和 Timer1A 同样的捕获模式设置采样输出信号的中断, 用于测量输入输出信号相位差 输入信号的中断还是在 Timer1A 中响应, 输出信号的中断在 Timer2A 上升沿触发时可以获取 Timer1A 定时器时间 通过以上一步同样的方式可以得到两个信号的相位差值 如下图所示 : 输入信号 : Td: 两组信号捕获中断的时间差 输出信号 : 时间轴 : t T1:Timer1A 捕获中断点时间 T2: 在 Timer2A 中段再次获取 Timer1A 的时间 相位跟踪示意图 16

72 关键代码分析 1 在 Timer2 中计算输入输出两路信号的相位差值 : // 统计相位跟踪差值 void Int_Timer2A_Handler(void) { // 清除中断标志位 ROM_TimerIntClear (TIMER2_BASE, ROM_TimerIntStatus (TIMER2_BASE, false)); // 因为减计数会自动停止, 所以需要重新启用计数模块 ROM_TimerEnable (TIMER2_BASE, TIMER_A); // 获取中断响应时的 Timer1A 计数值 uint32_t CapTimer1A = ROM_TimerValueGet (TIMER1_BASE, TIMER_B); // 计算两路信号计数差值 if (Fre_TimerOutCount >= 1) Phase_tick = CapTimer_Fre_Ori + ((Fre_TimerOutCount - 1) << 16) // * 0xFFFF + (TIMER_TOTAL_COUNTNUM - CapTimer1A); else Phase_tick = CapTimer_Fre_Ori - CapTimer1A; // 通过 PID 算法计算相位差, 将相位差放入相位差数组中 pha[pha_phase_index++] = Delay_time_calculate(Phase_tick, Fre_Cur_Frequency); // 取相位差平均值 if (Pha_Phase_Index >= PHASE_AVARAGE_NUM) { Delay_phase = average_float(pha, PHASE_AVARAGE_NUM, PHASE_CUT_NUM);// average_phase(); Pha_Phase_Index = 0; } 17

73 } 2 相位差的计算: 相位差在计算过程中会使用到 PID 算法调节 PID 算法, 按偏差的比例 (P) 积分 (I) 和微分 (D) 进行控制的 PID 控制器 ( 亦称 PID 调节器 ) 是应用最为广泛的一种自动控制器 它具有原理简单, 易于实现, 适用面广, 控制参数相互独立, 参数的选定比较简单等优点 ; 而且在理论上可以证明, 对于过程控制的典型对象 一阶滞后 + 纯滞后 与 二阶滞后 + 纯滞后 的控制对象,PID 控制器是一种最优控制 PID 调节规律是连续系统动态品质校正的一种有效方法, 它的参数整定方式简便, 结构改变灵活 (PI PD ) 在两路信号的相位跟踪同步过程控制中通过 PID 算法调节输出信号的相位值不断的趋近与输入信号的相位达到跟踪的效果 /****************************************************** ************** * 名称 : Delay_time_cal(void) * 功能 : 计算相位差 * 入口参数 : 无 * 出口参数 :temp_delay_phase ******************************************************* ************/ long int Delay_DETA = 0; float kp = 0.5, ki = 0.05, kd = 0.01, ui0 = 0.0, ui = 0, u = 0; float e = 0, e1 = 0; float Delay_time_calculate(int Phase_tick, float frequency) // 计算相位差 { volatile float Cal_delay_time = 0.0; volatile float temp_delay_phase = 0.0; Cal_delay_time = IQ_div_f_i(Phase_tick, TIVA_MAIN_FREQUENCY) ; 18

74 if (Cal_delay_time > 0.5 / frequency) Cal_delay_time -= 1.0 / frequency; if (Cal_delay_time < -0.5 / frequency) Cal_delay_time += 1.0 / frequency; temp_delay_phase = Cal_delay_time * frequency * SIN_TABLE_N; // 位置式 PID 调节器 e = temp_delay_phase; ui = ui0 + ki * e; u = kp * e + kd * (e - e1) + ui; ui0 = ui; e1 = e; temp_delay_phase = u; } return temp_delay_phase; 19

2

2 第六章.DC-DC 升压模块 1 2 3 4 5 程序通过 PB7 口产生 PWM 波控制 tps61165 工作, 完成 LED 串点亮以及电流控制, 可通过 LCD 开发板上的滚轮调节 PWM 波的占空比进而实现电流的调节 ; 通过 PE2 的 ADC 功能读取电压测量电路中的 OPA330 的输出电压, 并根据电路原理图计算出测量点的电压值, 显示在 LCD 上 ; 通过 PE3 的 ADC

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有

极客良品 -CC3200xx wifi 学习板 -PWM 实验 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有 CC32xx-PWM 实验 片内定时器功能介绍 ( 使用的 mcu 外设和上一个节内容是一样的 ) 该 CC320 包含 4 个 32 位用户可编程通用定时 (GPTA0~3 或有文档标注为 TIMERA0~3),GPT 可以用于对具有定时器复用功能的管脚进入的外部事件进行计数或计时, 也可以在输出引脚上产生脉冲宽度调试 (PWM) 信号 每个 GPT 模块包含两个 16 位定时 / 计数器 (TimerA

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

FY.DOC

FY.DOC 高 职 高 专 21 世 纪 规 划 教 材 C++ 程 序 设 计 邓 振 杰 主 编 贾 振 华 孟 庆 敏 副 主 编 人 民 邮 电 出 版 社 内 容 提 要 本 书 系 统 地 介 绍 C++ 语 言 的 基 本 概 念 基 本 语 法 和 编 程 方 法, 深 入 浅 出 地 讲 述 C++ 语 言 面 向 对 象 的 重 要 特 征 : 类 和 对 象 抽 象 封 装 继 承 等 主

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc

Microsoft Word - IRFWX-A124_A_SM59D03G2_SM59D04G2_PCA_ APN_SC_.doc 可程序计数数组 (PCA) 功能使用方法 1 适用产品 :SM59D04G2,SM59D03G2 2 应用说明 : PCA 共有五组, 每组皆可工作于以下七种模式 : 捕获模式 - 正缘捕获模式 (Positive edge capture mode) 捕获模式 - 负缘捕获模式 (Negative edge capture mode) 捕获模式 - 正缘及负缘捕获模式 (Both positive

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

任春平 邹志利 在坡度为 的平面斜坡上进行了单向不规则波的沿岸流不稳定运动实验 观测到了沿 岸流的周期性波动 波动周期约为 利用最大熵方法和三角函数回归法求得这种波动的主 频率以及幅值 分析了波动幅值在垂直岸线方向的变化 结果表明该变化与沿岸流变化类似 即在 沿岸流最大值附近这种波动强度最大 为了分析波动的机理 利用线性沿岸流不稳定模型对模型实验结果进行了分析 求得了不稳定运动增长模式和波动周期 并与对应实测结果进行了比较

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

C 1

C 1 C homepage: xpzhangme 2018 5 30 C 1 C min(x, y) double C // min c # include # include double min ( double x, double y); int main ( int argc, char * argv []) { double x, y; if( argc!=

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - 实用案例.doc

Microsoft Word - 实用案例.doc 计 算 机 系 统 应 用 2009 年 第 12 期 嵌 入 式 Linux 下 温 湿 度 传 感 器 的 设 计 与 实 现 1 Design and Implementation of Temperature and Humidity Sensor Based on Embedded Linux 陈 博 刘 锦 高 ( 华 东 师 范 大 学 电 子 科 学 技 术 系 上 海 200241)

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

1.加入党组织主要经过哪些程序?

1.加入党组织主要经过哪些程序? 内 部 资 料 注 意 保 存 厦 门 大 学 发 展 党 员 工 作 常 用 文 书 中 共 厦 门 大 学 委 员 会 组 织 部 编 印 2014 年 10 月 4 发 展 党 员 工 作 流 程 图 一 申 请 入 党 二 入 党 积 极 分 子 的 三 发 展 对 象 的 确 定 四 预 备 党 员 的 接 收 五 预 备 党 员 的 教 育 确 定 和 培 养 教 育 和 考 察 考 察

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

中文手册排版标准

中文手册排版标准 V1.0 - Jan 14, 2005 中文版 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 E-mail: mcu@sunplus.com.cn http://www.sunplusmcu.com http://mcu.sunplus.com

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060- D51.2 2003 MICROMSTER 410/420/430/440 D51.2 2003 micromaster MICROMSTER 410/420/430/440 0.12kW 250kW MICROMSTER 410/420/430/440 MICROMSTER 410 0.12 kw 0.75 kw 0.12kW 250kW MICROMSTER 420 0.12 kw 11 kw

More information

untitled

untitled 1 Outline 數 料 數 數 列 亂數 練 數 數 數 來 數 數 來 數 料 利 料 來 數 A-Z a-z _ () 不 數 0-9 數 不 數 SCHOOL School school 數 讀 school_name schoolname 易 不 C# my name 7_eleven B&Q new C# (1) public protected private params override

More information

RTC

RTC STM32F0 使用 RTC Tamper 的几个注意事项 问题 : 该问题由某客户提出, 发生在 STM32F072 器件上 据其软件工程师讲述 : 使用 STM32F0 系列的标准外设库中 RTC_Tamper 的例程来进行修改, 例程中配置的是当在 RTC_TAMP1 引脚检测到上升沿的时候, 复位备份寄存器并产生中断 ; 客户根据其实际应用将配置中的上升沿改成下降沿, 以期待在检测到下降沿的时候,

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

新・明解C言語入門編『索引』

新・明解C言語入門編『索引』 !... 75!=... 48 "... 234 " "... 9, 84, 240 #define... 118, 213 #include... 148 %... 23 %... 23, 24 %%... 23 %d... 4 %f... 29 %ld... 177 %lf... 31 %lu... 177 %o... 196 %p... 262 %s... 242, 244 %u... 177

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

Microsoft Word - MSP_430学习笔记.doc

Microsoft Word - MSP_430学习笔记.doc MSP430 学习笔记 (1) 这只是我在学习 TI 公司生产的 16 位超的功耗单片机 MSP430 的随笔, 希望能对其他朋友有所借鉴, 不对之处还请多指教 下面, 开始 430 之旅 讲解 430 的书现在也有很多了, 不过大多数都是详细说明底层硬件结构的, 看了不免有些空洞和枯燥, 我认为了解一个 MCU 的操作首先要对其基础特性有所了解, 然后再仔细研究各模块的功能 1. 首先你要知道 msp430

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

Eaton kVA 用户指南

Eaton kVA 用户指南 UPS 815kVA 230V 50/60 Hz UPS 815kVA 230V 50/60 Hz UPS 8 15 KVA230V50-60 Hz 1022403 B1 UPS 8 15 KVA230V50-60 Hz 1022403 B1 8 10kVAUPS230V 50/60 Hz 8 15kVAUPS230V 50/60 Hz CE SPM UPS 8 15 KVA230V50-60 Hz

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

在Kinetis上使用DMA进行脉冲计数

在Kinetis上使用DMA进行脉冲计数 Freescale Semiconductor Document Number: AN5083 应用笔记 Rev 0, 01/2015 在 Kinetis 上使用 DMA 进行脉冲计数 1 简介 本应用笔记阐述了如何使用 DMA 和通用 IO 模块进行脉冲计数 许多 Kinetis 系列微控制器具有低功耗定时器 (LPT) 和 FlexTimer, 可用于脉冲输入捕捉 然而, 当应用程序需要对多个脉冲输入进行计数时,

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

PIC16F877的外围功能模块

PIC16F877的外围功能模块 第 1 章 PIC16F877 的外围功能模块 1.1.2 简单应用实例该例用于令与 PORTD 口相连的 8 个发光二极管前 4 个点亮, 后 4 个熄灭 在调试程序前, 应使与 PORTD 口相连的 8 位拔码开关拔向相应的位置 例 1.1 PORTD 输出 #include main() TRISD=0X00 /*TRISD 寄存器被赋值,PORTD 每一位都为输出 */ /*

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple halcon 与 C# 混合编程之 Halcon 代码调用 写在前面 完成 halcon 与 C# 混合编程的环境配置后, 进行界面布局设计构思每一个按钮所需要实现 的功能, 将 Halcon 导出的代码复制至相应的 C# 模块下即可 halcon 源程序 : dev_open_window(0, 0, 512, 512, 'black', WindowHandle) read_image (Image,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

chap07.key

chap07.key #include void two(); void three(); int main() printf("i'm in main.\n"); two(); return 0; void two() printf("i'm in two.\n"); three(); void three() printf("i'm in three.\n"); void, int 标识符逗号分隔,

More information

* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * 94 6 22 11 94 6 22 25 5 No 000483~000500 2 94 8 22 0940150625 3 ( ) ( ) ( ) ( ) ( ) ( ) ( ) (

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

新版 明解C++入門編

新版 明解C++入門編 511!... 43, 85!=... 42 "... 118 " "... 337 " "... 8, 290 #... 71 #... 413 #define... 128, 236, 413 #endif... 412 #ifndef... 412 #if... 412 #include... 6, 337 #undef... 413 %... 23, 27 %=... 97 &... 243,

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information