目录特性...1 概述...1 修订历史...2 功能框图...3 规格...7 时序特性...10 绝对最大额定值...13 热阻...13 ESD 警告...13 引脚配置和功能描述...14 典型性能参数...16 测试电路...19 术语...20 电源管理...21 PSM0 正常功耗模式

Size: px
Start display at page:

Download "目录特性...1 概述...1 修订历史...2 功能框图...3 规格...7 时序特性...10 绝对最大额定值...13 热阻...13 ESD 警告...13 引脚配置和功能描述...14 典型性能参数...16 测试电路...19 术语...20 电源管理...21 PSM0 正常功耗模式"

Transcription

1 三相多功能电能计量 IC ADE7854A/ADE7858A/ADE7868A/ADE7878A 特性高精度 ; 支持 EN EN IEC IEC 和 IEC 标准兼容三相三线或三相四线 ( 三角形或星形 ) 电表及其它三相配置测量各相及整个系统的总 ( 基波和谐波 ) 有功 无功和视在功率, 以及基波有功 / 无功功率 T A = 25 C 时, 在 1000:1 的动态范围内有功和无功功率误差为 0.1%( 典型值 ) T A = 25 C 时, 在 3000:1 的动态范围内有功和无功功率误差为 0.2%( 典型值 ) 低纹波 rms 寄存器提供均值 rms 测量支持电流互感器和微分 (di/dt) 电流传感器零线电流输入采用专用 ADC 通道通过计算所有三相中相位电流之和的 rms 值得出零线电流有效值 T A = 25 C 时, 在 1000:1 的动态范围内电压和电流均方根误差为 0.1%( 典型值 ) 提供所有三相及零线电流的波形采样数据可选择总有功 / 无功功率和基波有功 / 无功功率, 以及视在功率的空载阀值用于防窃电检测的高精度 低功耗电池模式相位电流监控支持电池电源输入, 可在全失压的情况下工作电流和电压通道中的相位角测量电能脉冲输出 (CF) 直接驱动 LED 和光耦基准电压源 :1.2 V( 典型漂移量为 ±5 ppm/ C) 且具有外部过驱功能 3.3 V 单电源,40 引脚无铅引脚架构芯片级封装 (LFCSP) 工作温度 : 40 C 至 +85 C 灵活的 I 2 C SPI 和 HSDC 串行接口 ADE7878A 还能执行仅基波有功和无功功率测量以及有效值计算 一个固定功能数字信号处理器 (DSP) 负责实现这种信号处理 DSP 程序存储在内部 ROM 存储器中 ADE7854A/ADE7858A/ADE7868A/ADE7878A 能测量各种三线 四线的三相配置有功 / 无功 / 视在功率, 例如星形或三角形等 除了常规均方根测量 ( 每 8 khz 更新一次 ), 这些器件还能测量低纹波 rms 值, 数值在内部进行求均值计算, 且每 秒更新一次 器件具有系统校准功能, 即均方根失调校正 相位校准和增益校准 CF1 CF2 和 CF3 逻辑输出可提供许多功率信息 四款器件均提供总有功和视在功率值, 以及电流均方根的求和值 ; ADE7858A ADE7868A 和 ADE7878A 可提供总无功功率值 ;ADE7878A 可提供基波有功和无功功率值 ADE7854A/ADE7858A/ADE7868A/ADE7878A 具有波形采样寄存器, 允许访问所有 ADC 输出 这些器件还提供电能质量监测, 如瞬时低压或高压检测 瞬时高电流变化 线电压周期测量以及相电压与电流之间的角度等 器件可以利用两个串行接口 即串行外设接口 (SPI) 和 I 2 C 进行通信 专用高速数据采集 (HSDC) 端口可以与 I 2 C 配合使用, 以访问 ADC 输出和实时功率信息 这些器件还有两个中断请求引脚 IRQ0 和 IRQ1, 用来指示一个使能的中断事件已经发生 对于 ADE7868A/ADE7878A, 当器件遭遇窃电篡改时, 三种专门设计的低功耗模式可确保电能累计的连续性 表 1 列出了每个器件及其功能 这些器件采用 40 引脚 无铅 LFCSP 封装 概述 ADE7854A/ADE7858A/ADE7868A/ADE7878A 均为高精度 三相电能计量 IC, 采用串行接口, 并提供三路灵活的脉冲输出 这些器件内置二阶 Σ-Δ 型模数转换器 (ADC) 数字积分器 基准电压源电路以及执行总 ( 基波和谐波 ) 有功 无功 (ADE7858A ADE7868A 和 ADE7878A) 和视在功率测量以及 rms 计算时所需的全部信号处理功能 表 1. 器件比较 产品型号 WATT VAR ADE7854A 是 否 ADE7858A 是 是 ADE7868A 是 是 ADE7878A 是 是 I RMS V RMS 和 VA 是是是是 di/dt 是是是是 基波 WATT 和 VAR 否否否是 防窃电检测和低功耗模式否否是是 Rev. B Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other One Technology Way, P.O. Box 9106, Norwood, MA , U.S.A. rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录特性...1 概述...1 修订历史...2 功能框图...3 规格...7 时序特性...10 绝对最大额定值...13 热阻...13 ESD 警告...13 引脚配置和功能描述...14 典型性能参数...16 测试电路...19 术语...20 电源管理...21 PSM0 正常功耗模式 ( 所有器件 )...21 PSM1 降耗模式 ( 仅限 ADE7868A 和 ADE7878A)...21 PSM2 低功耗模式 ( 仅限 ADE7868A 和 ADE7878A)...21 PSM3 休眠模式 ( 所有器件 )...23 上电程序...25 硬件复位...25 软件复位...26 工作原理...27 模拟输入...27 模数转换...27 电流通道 ADC...28 di/dt 电流传感器和数字积分器...30 电压通道 ADC...31 更换相电压数据路径...32 电能质量测量...32 相位补偿...40 参考电路...41 数字信号处理器...42 有效值测量...43 有功功率计算...47 无功功率计算 仅限 ADE7858A ADE7868A ADE7878A...53 视在功率计算...57 波形采样模式...60 电能频率转换...60 空载条件...64 校验和寄存器...65 中断...66 应用信息...68 快速将器件设置为电表...68 晶振电路...68 布局布线指南...69 ADE7878A 评估板...69 芯片版本...69 硅片异常...70 ADE7854A/ADE7858A/ADE7868A/ADE7878A 功能问题...70 功能问题...70 串行接口...71 串行接口选择...71 通信验证...71 I 2 C 接口...71 SPI 接口...73 HSDC 接口...75 寄存器列表...77 外形尺寸...95 订购指南...95 修订历史 2014 年 10 月 修订版 A 至修订版 B 更改图 更改图 更改 硅片异常 部分 年 7 月 修订版 A: 初始版 Rev. B Page 2 of 96

3 功能框图 CLKIN CLKOUT IAP IAN VAP IBP IBN VBP ICP ICN VCP VN RESET REF_GND REF IN/OUT VDD AGND AVDD DVDD DGND V REF POR LDO LDO HPFDIS [23:0] DIGITAL INTEGRATOR AIGAIN PGA1 ADC HPF APHCAL HPFDIS [23:0] AVGAIN PGA3 ADC HPF PGA1 PGA3 ADC ADC TOTAL ACTIVE/APPARENT ENERGIES AND VOLTAGE/ CURRENT RMS CALCULATION FOR PHASE B (SEE PHASE A FOR DETAILED DATAPATH) PGA1 PGA3 ADC ADC TOTAL ACTIVE/APPARENT ENERGIES AND VOLTAGE/ CURRENT RMS CALCULATION FOR PHASE C (SEE PHASE A FOR DETAILED DATAPATH) AIRMSOS IARMS_LRIP X 2 AIRMS LPF AVAGAIN X 2 AVRMS LPF AVRMSOS y t = sec. VARMS_LRIP AWGAIN AWATTOS LPF PHASE A, PHASE B, AND PHASE C DATA DIGITAL SIGNAL PROCESSOR ADE7854A CF1DEN DFC : CF2DEN DFC : CF3DEN DFC : SPI OR I 2 C/HSDC PM0 PM1 CF1 CF2 CF3/HSCLK IRQ0 IRQ1 SCLK/SCL MOSI/SDA MISO/HSD SS/HSA x x t = sec. y 图 1. ADE7854A 功能框图 Rev. B Page 3 of 96

4 CLKIN CLKOUT IAP IAN VAP IBP IBN VBP ICP ICN VCP VN RESET REF_GND REF IN/OUT VDD AGND AVDD DVDD DGND V REF POR LDO LDO HPFDIS [23:0] DIGITAL INTEGRATOR AIGAIN PGA1 ADC HPF APHCAL HPFDIS [23:0] AVGAIN PGA3 ADC HPF PGA1 PGA3 ADC ADC TOTAL ACTIVE/REACTIVE/ APPARENT ENERGIES AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE B (SEE PHASE A FOR DETAILED DATAPATH) PGA1 PGA3 ADC ADC TOTAL ACTIVE/REACTIVE/ APPARENT ENERGIES AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE C (SEE PHASE A FOR DETAILED DATAPATH) AIRMSOS X 2 AIRMS LPF AVAGAIN X 2 AVRMS LPF AVRMSOS AWGAIN AWATTOS LPF COMPUTATIONAL BLOCK FOR TOTAL REACTIVE POWER AVARGAIN AVAROS PHASE A, PHASE B, AND PHASE C DATA DIGITAL SIGNAL PROCESSOR ADE7858A CF1DEN DFC : CF2DEN DFC : CF3DEN DFC : SPI OR I 2 C/HSDC PM0 PM1 CF1 CF2 CF3/HSCLK IRQ0 IRQ1 SCLK/SCL MOSI/SDA MISO/HSD SS/HSA x x t = sec. IARMS_LRIP y y t = sec. VARMS_LRIP 图 2. ADE7858A 功能框图 Rev. B Page 4 of 96

5 CLKIN CLKOUT IAP IAN VAP IBP IBN VBP ICP ICN VCP VN INP INN RESET REF_GND REF IN/OUT VDD AGND AVDD DVDD DGND V REF POR LDO LDO HPFDIS [23:0] DIGITAL INTEGRATOR AIGAIN PGA1 ADC HPF APHCAL HPFDIS [23:0] AVGAIN PGA3 ADC HPF PGA1 PGA3 ADC ADC TOTAL ACTIVE/REACTIVE/ APPARENT ENERGIES AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE B (SEE PHASE A FOR DETAILED DATAPATH) PGA1 PGA3 ADC ADC TOTAL ACTIVE/REACTIVE/ APPARENT ENERGIES AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE C (SEE PHASE A FOR DETAILED DATAPATH) HPFDIS [23:0] DIGITAL INTEGRATOR NIGAIN PGA2 ADC HPF AIRMSOS X 2 AIRMS LPF X 2 LPF AVRMSOS AVRMS AWGAIN AWATTOS LPF AVARGAIN AVAROS COMPUTATIONAL BLOCK FOR TOTAL REACTIVE POWER NIRMSOS X 2 LPF NIRMS AVAGAIN PHASE A, PHASE B, AND PHASE C DATA DIGITAL SIGNAL PROCESSOR ADE7868A CF1DEN DFC : CF2DEN DFC : CF3DEN DFC : SPI OR I 2 C/HSDC PM0 PM1 CF1 CF2 CF3/HSCLK IRQ0 IRQ1 SCLK/SCL MOSI/SDA MISO/HSD SS/HSA x x t = sec. y y t = sec. z z t = sec. IARMS_LRIP VARMS_LRIP INRMSx_LRIP 图 3. ADE7868A 功能框图 Rev. B Page 5 of 96

6 CLKIN CLKOUT IAP IAN VAP IBP IBN VBP ICP ICN VCP VN INP INN RESET REF_GND REF IN/OUT VDD AGND AVDD DVDD DGND V REF POR LDO LDO HPFDIS [23:0] DIGITAL INTEGRATOR AIGAIN PGA1 ADC HPF APHCAL HPFDIS [23:0] AVGAIN PGA3 ADC HPF PGA1 PGA3 ADC ADC TOTAL/FUNDAMENTAL ACTIVE/ REACTIVE ENERGIES, APPARENT ENERGY AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE B (SEE PHASE A FOR DETAILED DATAPATH) PGA1 PGA3 ADC ADC TOTAL/FUNDAMENTAL ACTIVE/ REACTIVE ENERGIES, APPARENT ENERGY AND VOLTAGE/CURRENT RMS CALCULATION FOR PHASE C (SEE PHASE A FOR DETAILED DATAPATH) HPFDIS [23:0] DIGITAL INTEGRATOR NIGAIN PGA2 ADC HPF AIRMSOS x x t = sec. X 2 AIRMS LPF X 2 LPF AVRMSOS y AVRMS y t = sec. AWGAIN AWATTOS LPF AVARGAIN AVAROS COMPUTATIONAL BLOCK FOR TOTAL REACTIVE POWER AFWGAIN AFWATTOS COMPUTATIONAL BLOCK FOR FUNDAMENTAL ACTIVE AND REACTIVE POWER AFVARGAIN AFVAROS NIRMSOS z z t = sec. X 2 LPF NIRMS IARMS_LRIP AVAGAIN VARMS_LRIP PHASE A, PHASE B, AND PHASE C DATA INRMSx_LRIP DIGITAL SIGNAL PROCESSOR ADE7878A CF1DEN DFC : CF2DEN DFC : CF3DEN DFC : SPI OR I 2 C/HSDC PM0 PM1 CF1 CF2 CF3/HSCLK IRQ0 IRQ1 SCLK/SCL MOSI/SDA MISO/HSD SS/HSA 图 4. ADE7878A 功能框图 Rev. B Page 6 of 96

7 规格除非另有说明,VDD = 3.3 V ± 10% AGND = DGND = 0 V 片内基准电压源 CLKIN = MHz T MIN 至 T MAX = 40 C 至 +85 C T TYP = 25 C 表 2. 1, 2, 3 参数 最小值 典型值 最大值 单位 测试条件 / 注释 有功功率测量 (PSM0 模式 ) 有功功率测量误差 ( 每个相位 ) 总有功功率 0.1 % 在 1000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.2 % 在 3000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.1 % 在 500:1 的动态范围内,PGA = 8 或 16; 积分器开启 基波有功功率 仅限 ADE7878A 0.1 % 在 1000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.2 % 在 3000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.1 % 在 500:1 的动态范围内,PGA = 8 或 16; 积分器开启 交流电源抑制 VDD = 3.3 V mv rms (120 Hz/100 Hz), IxP = VxP = ±100 mv rms 输出频率变化 0.01 % 直流电源抑制 VDD = 3.3 V ± 330 mv dc, IxP = VxP = ±100 mv rms 输出频率变化 0.01 % 总有功功率测量带宽 2 khz 无功功率测量 (PSM0 模式 ) ADE7858A ADE7868A 和 ADE7878A 无功功率测量误差 ( 每个相位 ) 总无功功率 0.1 % 在 1000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.2 % 在 3000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.1 % 在 500:1 的动态范围内,PGA = 8 或 16; 积分器开启 基波无功功率 仅限 ADE7878A 0.1 % 在 1000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.2 % 在 3000:1 的动态范围内,PGA = 1 2 或 4; 积分器关闭 0.1 % 在 500:1 的动态范围内,PGA = 8 或 16; 积分器开启 交流电源抑制 VDD = 3.3 V mv rms (120 Hz/100 Hz), IxP = VxP = ±100 mv rms 输出频率变化 0.01 % 直流电源抑制 VDD = 3.3 V ± 330 mv dc, IxP = VxP = ±100 mv rms 输出频率变化 0.01 % 总无功电能测量带宽 2 khz RMS 测量 (PSM0 模式 ) 电流 (I) RMS 和电压 (V) RMS 测量带宽 2 khz I RMS 和 V RMS 测量误差 0.1 % 在 1000:1 的动态范围内,PGA = 1 平均绝对值 (MAV) 测量 (PSM1 模式 ) ADE7868A 和 ADE7878A I MAV 测量带宽 260 Hz I MAV 测量误差 0.5 % 在 100:1 的动态范围内,PGA = 或 8 Rev. B Page 7 of 96

8 参数 1, 2, 3 最小值典型值最大值单位测试条件 / 注释 模拟输入 最大信号电平 ±500 mv 峰值 输入阻抗 ( 直流 ) IAP IAN IBP IBN ICP ICN INP INN VAP VBP 和 VCP 引脚 400 kω VN 引脚 130 kω ADC 失调 34 mv PGA = 1; 参见术语部分 增益误差 ±4 % 1.2 V 外部基准电压源 波形采样 采样 CLKIN/ MHz/2048 = 8 ksps 电流和电压通道 参见 波形采样模式 部分 信噪比 (SNR) 74 db PGA = 1, 基波频率 = 45 Hz 至 65 Hz; 参见 术语 部分 信纳比 (SINAD) 74 db PGA = 1, 基波频率 = 45 Hz 至 65 Hz; 参见 术语 部分 3 db 带宽 2 khz 相位时间间隔 测量误差 0.3 度 线路频率 = 45 Hz 至 65 Hz,HPF 开启 CF1 CF2 CF3 脉冲输出最大输出频率 8 khz WTHR = VARTHR = VATHR = PMAX = 33,516,139 占空比 50 % CF1 CF2 或 CF3 频率大于 6.25 Hz,CFDEN 为 偶数且大于 1 (1 + 1/CFDEN) 50% CF1 CF2 或 CF3 频率大于 6.25 Hz,CFDEN 为奇数且大于 1 低电平有效脉冲宽度 80 ms CF1 CF2 或 CF3 频率小于 6.25 Hz 抖动 0.04 % CF1 CF2 或 CF3 频率等于 1 Hz, 标称相电流 大于满量程的 10% 基准输入 REF IN/OUT 输入电压范围 V 最小值 = 1.2 V 8%; 最大值 = 1.2 V + 8% 输入电容 10 pf 片内基准电压源,PSM0 和 PSM1 模式 T A = 25 C 时,REF IN/OUT 引脚上标称值为 1.2 V 温度系数 32 ±5 +32 ppm/ C 40 C 至 +85 C 整个温度范围内的漂移计算以 25 C 为准 ; 参见 参考电路 部分 CLKIN CLKIN = MHz; 参见 晶振电路 部分 输入时钟频率 MHz 逻辑输入 MOSI/SDA SCLK/SCL SS/HAS RESET PM0 和 PM1 输入高电压 V INH 2.0 V VDD = 3.3 V ± 10% 输入低电压 V INL 0.8 V VDD = 3.3 V ± 10% 输入电流 I IN 8.7 µa 输入电压 = 0 V,VDD = 3.3 V 3 µa 输入电压 = VDD = 3.3 V 输入电容 C IN 10 pf 逻辑输出,IRQ0 IRQ1 MISO/HSD 输出高电压 V OH 2.4 V VDD = 3.3 V ± 10% I SOURCE 800 µa 输出低电压 V OL 0.4 V VDD = 3.3 V ± 10% I SINK 2 ma PGA = 1, 以下引脚之间的差分或单端输入 : IAP 和 IAN IBP 和 IBN ICP 和 ICN INP 和 INN; 以下引脚之间的单端输入 :VAP 和 VN VBP 和 VN VCP 和 VN Rev. B Page 8 of 96

9 1, 2, 3 参数 最小值 典型值 最大值 单位 测试条件 / 注释 CF1 CF2 CF3/HSCLK 输出高电压 V OH 2.4 V VDD = 3.3 V ± 10% I SOURCE 500 µa 输出低电压 V OL 0.4 V VDD = 3.3 V ± 10% I SINK 8 ma 电源 额定性能 PSM0 模式 VDD 引脚 V 最小值 = 3.3 V 10%; 最大值 = 3.3 V + 10% I DD ma PSM1 和 PSM2 模式 ADE7868A 和 ADE7878A VDD 引脚 V I DD PSM1 模式 4.5 ma PSM2 模式 0.2 ma PSM3 模式 VDD 引脚 V I DD 参见 典型性能参数 部分 2 有关参数定义, 请参见 术语 部分 3 注意, 仅相关功能会引用双功能引脚名称 ( 有关完整的引脚名称和描述, 请参见 引脚配置和功能描述 部分 ) Rev. B Page 9 of 96

10 时序特性 VDD = 3.3 V ± 10%,AGND = DGND = 0 V, 片内基准电压源,CLKIN = MHz,T MIN 至 T MAX = 40 C 至 +85 C 请注意, 仅时序表和图中的相关功能会引用双功能引脚名称 ( 有关完整的引脚名称和描述, 请参见引脚配置和功能描述部分 ) I 2 C 接口时序 表 3. 标准模式 快速模式 参数 符号 最小值 最大值 最小值 最大值 单位 SCL 时钟频率 fscl khz 起始和重复起始条件的保持时间 thd;sta SCL 时钟低电平周期 tlow µs SCL 时钟高电平周期 thigh µs 重复起始条件建立时间 tsu;sta µs 数据保持时间 thd;dat µs 数据建立时间 tsu;dat ns SDA 和 SCL 信号的上升时间 tr ns SDA 和 SCL 信号的下降时间 tf ns 停止条件的建立时间 tsu;sto µs 停止条件和起始条件之间的总线空闲时间 tbuf µs 尖峰抑制脉冲宽度 tsp N/A 1 50 ns 1 N/A 表示不适用 SDA t F t SU;DAT t HD;STA t SP t R t BUF t LOW t R t F SCL START CONDITION t HD;STA t HD;DAT t HIGH t SU;STA REPEATED START CONDITION t SU;STO STOP START CONDITION CONDITION 图 5. I 2 C 接口时序 Rev. B Page 10 of 96

11 SPI 接口时序 表 4. 参数 符号 最小值 最大值 单位 SS 至 SCLK 边沿 tss 50 ns SCLK 周期 SCLK 低电平脉冲宽度 tsl 175 ns SCLK 高电平脉冲宽度 tsh 175 ns SCLK 边沿之后数据输出有效时间 tdav 100 ns SCLK 边沿之前数据输入建立时间 tdsu 100 ns SCLK 边沿之后数据输入保持时间 tdhd 5 ns 数据输出下降时间 tdf 20 ns 数据输出上升时间 tdr 20 ns SCLK 上升时间 tsr 20 ns SCLK 下降时间 tsf 20 ns SS 上升沿之后 MISO 禁用时间 tdis 200 ns SCLK 边沿后的 SS 高电平时间 tsfs 0 ns 1 通过设计保证 SS t SS t SFS SCLK t SL t DAV t SH t SF t SR t DIS MISO MSB INTERMEDIATE BITS LSB t DF t DR INTERMEDIATE BITS MOSI MSB IN LSB IN t DSU t DHD 图 6. SPI 接口时序 Rev. B Page 11 of 96

12 HSDC 接口时序 表 5. 参数 符号 最小值 最大值 单位 HSA 至 HSCLK 边沿 tss 0 ns HSCLK 周期 125 ns HSCLK 低电平脉宽 tsl 50 ns HSCLK 高电平脉宽 tsh 50 ns HSCLK 边沿之后数据输出有效时间 tdav 40 ns 数据输出下降时间 tdf 20 ns 数据输出上升时间 tdr 20 ns HSCLK 上升时间 tsr 10 ns HSCLK 下降时间 tsf 10 ns HSA 上升沿之后 HSD 禁用时间 tdis 5 ns HSCLK 边沿之后 HSA tsfs 0 ns HSA t SS t SFS HSCLK t SL t DAV t SH t SF t SR t DIS HSD MSB INTERMEDIATE BITS LSB t DF 图 7. HSDC 接口时序 t DR 时序规格的负载电路 2mA I OL TO OUTPUT PIN C L 50pF 1.6V 800µA I OH 图 8. 时序规格的负载电路 Rev. B Page 12 of 96

13 绝对最大额定值除非另有说明,T A = 25 C 表 6. 参数 额定值 VDD 至 AGND 0.3 V 至 +3.7 V VDD 至 DGN 0.3 V 至 +3.7 V 模拟输入电压至 AGND IAP IAN IBP 2 V 至 +2 V IBN ICP ICN VAP VBP VCP VN 模拟输入电压至 INP 和 INN 2 V 至 +2 V 基准输入电压至 AGND 0.3 V 至 VDD V 数字输入电压至 DGND 0.3 V 至 VDD V 数字输出电压至 DGND 0.3 V 至 VDD V 工作温度工业范围 40 C 至 +85 C 存储温度范围 65 C 至 +150 C 引脚温度 ( 焊接,10 秒 ) 300 注意, 等于或超出上述绝对最大额定值可能会导致产品永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断产品能否正常工作 长期在超出最大额定值条件下工作会影响产品的可靠性 热阻 θ JA 额定值为 29.3 C/W;θ JC 额定值为 1.8 C/W 表 7. 热阻 封装类型 θja θjc 单位 40 引脚 LFCSP C/W ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. B Page 13 of 96

14 11 NC I BN 1 2 I C P I N P EF VN VCP REF_GND IN/OU 13 I CN I NN ADE7854A/ADE7858A/ADE7868A/ADE7878A 引脚配置和功能描述 4 C N 3 A C N 3 8 MOSI/SD A 3 7 MISO/HS D 3 6 S CLK/S C L SS/HS CF3/HSCLK CF CF2 IRQ NC 1 PM0 2 PM1 3 RESET 4 DVDD 5 DGND 6 IAP 7 IAN 8 IBP 9 NC 10 ADE7854A/ ADE7858A/ ADE7868A/ ADE7878A TOP VIEW (Not to Scale) 30 NC 29 IRQ0 28 CLKOUT 27 CLKIN 26 VDD 25 AGND 24 AVDD 23 VAP 22 VBP 21 NC R T NOTES 1. NC = NO CONNECT. THESE PINS ARE NOT CONNECTED INTERNALLY. IT IS RECOMMENDED THAT THESE PINS BE GROUNDED. 2. CREATE A SIMILAR PAD ON THE PCB UNDER THE EXPOSED PAD. SOLDER THE EXPOSED PAD TO THE PAD ON THE PCB TO CONFER MECHANICAL STRENGTH TO THE PACKAGE. CONNECT THE PADS TO AGND AND DGND. 图 9. 引脚配置 表 8. 引脚功能描述 引脚编号 引脚名称 说明 1, 10, 11, 21, NC 不连接 这些引脚不在内部互连 建议将这些引脚接地 30, 31, 40 2 PM0 功耗模式引脚 0 PM0 和 PM1 引脚共同确定 ADE7854A ADE7858A ADE7868A 和 ADE7878A 的功耗模式 ( 见表 9) 3 PM1 功耗模式引脚 1 PM1 和 PM0 引脚共同确定 ADE7854A ADE7858A ADE7868A 和 ADE7878A 的功耗模式 ( 见表 9) 4 RESET 复位输入, 低电平有效 在 PSM0 模式下, 此引脚应至少保持低电平 10 µs, 以触发硬件复位 5 DVDD 数字低压差 (LDO) 稳压器的 2.5 V 输出 用一个 4.7 µf 电容和一个 220 nf 陶瓷电容并联将此引脚去耦 不要 将外部有源电路连接至此引脚 6 DGND 数字电路的接地基准 7, 8 IAP, IAN 模拟输入, 电流通道 A 电流通道 A 与电流传感器配合使用 IAP( 正 ) 和 IAN( 负 ) 输入为全差分电压输入, 最大差分电平为 ±0.5 V( 峰值 ) 通道 A 还具有一个内部 PGA, 其设置值与通道 B 和通道 C 所用的 PGA 相同 9, 12 IBP, IBN 模拟输入, 电流通道 B 电流通道 B 与电流传感器配合使用 IBP( 正 ) 和 IBN( 负 ) 输入为全差分电压输入, 最大差分电平为 ±0.5 V( 峰值 ) 通道 B 还具有一个内部 PGA, 其设置值与通道 A 和通道 C 所用的 PGA 相同 13, 14 ICP, ICN 模拟输入, 电流通道 C 电流通道 C 与电流传感器配合使用 ICP( 正 ) 和 ICN( 负 ) 输入为全差分电压输入, 最大差分电平为 ±0.5 V( 峰值 ) 通道 C 还具有一个内部 PGA, 其设置值与通道 A 和通道 B 所用的 PGA 相同 15, 16 INP, INN 模拟输入, 零线电流通道 N 电流通道 N 与电流传感器配合使用 INP( 正 ) 和 INN( 负 ) 输入为全差分电压 输入, 最大差分电平为 ±0.5 V( 峰值 ) 通道 N 还有一个内部 PGA, 独立于通道 A 通道 B 和通道 C 所用的 PGA 只有 ADE7868A 和 ADE7878A 提供零线电流通道 在 ADE7854A 和 ADE7858A 中, 将 INP 和 INN 引脚连接到 AGND 17 REFIN/OUT 通过 REF IN/OUT 引脚可以使用片内基准电压 片内基准电压的标称值为 1.2 V 也可以在此引脚上连接 1.2 V ±8% 的外部基准电压源 这两种情况下, 都要通过将一个 4.7 µf 电容和一个 100 nf 陶瓷电容并联来对 REF IN/OUT 去耦 复位后, 使能片内基准电压源 Rev. B Page 14 of 96

15 引脚编号 引脚名称 说明 18, 19, 22, 23 VN, VCP, VBP, VAP 模拟输入 电压通道 这些通道与电压传感器配合使用 VN VCP VBP 和 VAP 输入为单端电压输入, 对于指定操作, 最大信号电平为相对于 VN 的 ±0.5 V( 峰值 ) 每个电压通道还具有一个内部 PGA 20 REF_GND 接地基准点, 内部基准电压 连接 REF_GND 至模拟接地层 24 AVDD 模拟低压差 (LDO) 稳压器的 2.5 V 输出 用一个 4.7 µf 电容和一个 220 nf 陶瓷电容并联将此引脚去耦 不要 将外部有源电路连接至此引脚 25 AGND 模拟电路的接地基准 将 AGND 连接到系统中的模拟接地层或最安静的接地基准 为抗混叠滤波器 电 流传感器和电压传感器等所有模拟电路使用此安静的基地基准 26 VDD 电源电压 VDD 引脚提供电源电压 在 PSM0( 正常功耗模式 ) 下, 应将电源电压维持在 3.3 V ± 10%, 以保 证正常工作 在 PSM1( 降耗模式 ) PSM2( 低功耗模式 ) 和 PSM3( 休眠模式 ) 下, 当 ADE7868A 或 ADE7878A 采 用电池供电时, 应将电源电压维持在 2.8 V 至 3.7 V 范围内 通过将一个 10 µf 电容和一个 100 nf 陶瓷电容 并联将 VDD 去耦至 AGND ADE7858A 和 ADE7854A 仅支持 PSM0 和 PSM3 功耗模式 27 CLKIN 主时钟 可以通过此逻辑输入提供外部时钟 或者, 可以将一个晶体跨接到 CLKIN 和 CLKOUT 引脚, 为 ADE7854A ADE7858A ADE7868A 或 ADE7878A 提供时钟源 额定工作性能要求的时钟频率为 MHz 有关选择合适晶振的详细信息, 请参见 晶振电路 部分 28 CLKOUT 晶体谐振器输出 可以将一个晶振跨接到 CLKIN 和 CLKOUT 引脚, 为 ADE7854A ADE7858A ADE7868A 或 ADE7878A 提供时钟源 额定工作性能要求的时钟频率为 MHz 有关选择合适晶振的详细信息, 29, 32 IRQ0, IRQ1 请参见 晶振电路 部分 中断请求输出 这些引脚都是低电平有效逻辑输出 有关触发中断的事件信息, 请参见 中断 部分 33, 34, 35 CF1, CF2, CF3/HSCLK 校准 HSDC 端口的频率逻辑输出 / 串行时钟输出 CF1 CF2 和 CF3/HSCLK 输出可以根据 CFMODE 寄存器中的 CF1SEL[2:0] CF2SEL[2:0] 和 CF3SEL[2:0] 位来提供功率信息 可在正常工作和校准时使用这些输出 通 过写入 CF1DEN CF2DEN 和 CF3DEN 寄存器, 可以按比例调整满量程输出频率 ( 参见 功率频率转换 部分 ) CF3 可以和 HSCLK 多路复用 36 SCLK/SCL SPI 端口的串行时钟输入 /I 2 C 端口的串行时钟输入 所有串行数据传输均与此时钟同步 ( 参见 串行接口 部分 ) SCLK/SCL 引脚具有施密特触发输入, 可以与光隔离器输出等具有较慢转换时间的时钟源配合使用 37 MISO/HSD SPI 端口的数据输出 /HSDC 端口的数据输出 38 MOSI/SDA SPI 端口的数据输入 /I 2 C 端口的数据输入和输出 39 SS/HSA SPI 端口的从机选择 /HSDC 端口有效 EP 裸露焊盘 应在裸露焊盘下方的印刷电路板 (PCB) 上创建一个相似的焊盘, 然后将裸露焊盘焊接到 PCB 上的焊盘, 以将其机械强度赋予封装 将这些焊盘连接到 AGND 和 DGND Rev. B Page 15 of 96

16 典型性能参数 ERROR (%) ERROR (%) ERROR (%) C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 10. 内部基准电压源和积分器关闭时整个温度范围内总有功功率误差的百分比读数 ( 增益 = +1, 功率因数 = 1) 与满量程电流百分比的关系 PF = 1 PF = 0.5 PF = LINE FREQUENCY (Hz) 图 11. 内部基准电压源和积分器关闭时整个功率因数范围内总有功功率误差的百分比读数 ( 增益 = +1) 与线路频率的关系 V 3.30V 3.63V PERCENTAGE OF FULL-SCALE CURRENT (%) T A = 25 C 图 12. 内部基准电压源和积分器关闭时整个电源范围内总有功功率误差的百分比读数 ( 增益 = +1, 功率因数 = 1) 与满量程电流百分比的关系 ERROR (%) C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 13. 内部基准电压源和积分器开启时整个温度范围内总有功功率误差的百分比读数 ( 增益 = +16, 功率因数 = 1) 与满量程电流百分比的关系 ERROR (%) ERROR (%) C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 14. 内部基准电压源和积分器关闭时整个温度范围内总无功功率误差的百分比读数 ( 增益 = +1, 功率因数 = 0) 与满量程电流百分比的关系 PF PF 0 PF LINE FREQUENCY (Hz) 图 15. 内部基准电压源和积分器关闭时整个功率因数范围内总无功功率误差的百分比读数 ( 增益 = +1) 与线路频率的关系 Rev. B Page 16 of 96

17 ERROR (%) ERROR (%) ERROR (%) V 3.30V 3.63V PERCENTAGE OF FULL-SCALE CURRENT (%) T A = 25 C 图 16. 内部基准电压源和积分器关闭时整个电源范围内总无功功率误差的百分比读数 ( 增益 = +1, 功率因数 = 0) 与满量程电流百分比的关系 C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) 图 17. 内部基准电压源和积分器开启时整个温度范围内总无功功率误差的百分比读数 ( 增益 = +16, 功率因数 = 0) 与满量程电流百分比的关系 PF = 1 PF = 0.5 PF = 0.5 VDD = 3.3V LINE FREQUENCY (Hz) 图 18. 内部基准电压源和积分器关闭时整个频率范围内基波有功功率误差的百分比读数 ( 增益 = +1) 与线路频率的关系 ERROR (%) ERROR (%) ERROR (%) C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 19. 内部基准电压源和积分器开启时整个温度范围内基波有功功率误差的百分比读数 ( 增益 = +16) 与满量程电流百分比的关系 PF PF 0 PF LINE FREQUENCY (Hz) 图 20. 内部基准电压源和积分器关闭时整个功率因数范围内基波无功功率误差的百分比读数 ( 增益 = +1) 与线路频率的关系 C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 21. 内部基准电压源和积分器开启时整个温度范围内基波无功功率误差的百分比读数 ( 增益 = +16) 与满量程电流百分比的关系 Rev. B Page 17 of 96

18 ERROR (%) C +25 C +85 C PERCENTAGE OF FULL-SCALE CURRENT (%) VDD = 3.3V 图 22. 内部基准电压源和积分器关闭时整个温度范围内 I RMS 误差的百分比读数 ( 增益 = +1, 功率因数 = 1) 与满量程电流百分比的关系 Rev. B Page 18 of 96

19 测试电路图 23 中,PM1 和 PM0 引脚内部拉高至 VDD 通过微控制器以编程方式更改引脚值, 可选择工作模式 ( 参见 电源管理 部分 ) 3.3V 10µF + 0.1µF µF 0.22µF 4.7µF 0.22µF kΩ 1kΩ 1kΩ 1kΩ 10kΩ 22nF 22nF 22nF 22nF 3.3V 1µF SAME AS IAP, IAN SAME AS IAP, IAN SAME AS VCP SAME AS VCP 2 PM0 3 PM1 4 RESET 7 IAP 8 IAN 9 IBP 12 IBN 13 ICP 14 ICN 18 VN 19 VCP 22 VBP 23 VAP REF_GND AVDD VDD DVDD ADE78xxA 20 SS/HSA 39 MOSI/SDA 38 MISO/HSD 37 SCLK/SCL 36 CF3/HSCLK 35 CF2 34 CF1 33 IRQ1 32 IRQ0 29 REF IN/OUT 17 CLKOUT 28 CLKIN DGND AGND SAME AS CF2 CL MHz CL 1 3.3V 1.5kΩ 4.7µF + 0.1µF 图 23. 测试电路 Rev. B Page 19 of 96

20 术语测量误差与 ADE7854A/ADE7858A/ADE7868A/ADE7878A 的电能测量相关的误差, 由以下公式定义 : 测量误差 = 器件记录的电能 实际电能 实际电能 1 00% 其中, 器件指的是 ADE7854A ADE7858A ADE7868A 或 ADE7878A 电源抑制 (PSR) PSR 衡量电源发生变化时 ADE7854A/ADE7858A/ADE7868A/ ADE7878A 测量误差, 以读数百分比形式表示 对于交流 PSR 测量, 首先是获取标称电源 (3.3 V) 时的读数 接着会在向电源引入交流信号 (120 mv rms, 两倍于基波频率 ) 时以相同的输入信号电平获取另一个读数 此交流信号所引入的误差以读数的百分比表示 对于直流 PSR 测量, 首先是获取标称电源 (3.3 V) 时的读数 然后将电源改变 ±10%, 并在相同输入信号电平下获得第二个读数 所引入的误差以读数百分比形式表示 ADC 失调 ADC 失调指与 ADC 模拟输入相关的直流失调 它意味着当模拟输入连接到 AGND 时,ADC 仍然可以看到直流模拟输入信号 失调的幅度取决于增益和输入范围的选择 高通滤波器 (HPF) 会在电流和电压通道中消除该失调, 因此功率计算仍然不会受到该失调的影响 (1) 增益误差 ADE7854A/ADE7858A/ADE7868A/ADE7878A 中 ADC 的增益误差定义为测得的 ADC 输出码 ( 减去失调 ) 和理想输出码之间的差值 ( 参见 电流通道 ADC 部分和 电压通道 ADC 部分 ) 该偏差表示为理想代码的百分比 CF 抖动首先连续测量 CF1 CF2 或 CF3/HSCLK 引脚上的脉冲周期 接着, 通过下式计算四个连续脉冲的最大值 最小值和平均值 : 最大值 = 最大 ( 周期, 周期, 周期, 周期 ) 最小值 = 最小 ( 周期, 周期, 周期, 周期 ) 周期 + 周期 + 周期 + 周期 平均值 = 4 然后, 通过下式计算 CF 抖动 : 最小值 最大值 CF JITTER = 1 00% (2) 平均值信噪比 (SNR) SNR 指实际输入信号的均方根值与 2 khz 以下除谐波和直流以外所有其它频谱成分的均方根和之比, 输入信号仅包含基波成分 频谱成分在 2 秒窗口内计算 用分贝 (db) 表示 信纳比 (SINAD) SINAD 指实际输入信号的均方根值与 2 khz 以下 ( 包括谐波但不包括直流 ) 所有其它频谱成分的均方根和之比 输入信号仅包含基波成分 频谱成分在 2 秒窗口内计算 SINAD 值用分贝 (db) 表示 Rev. B Page 20 of 96

21 电源管理 ADE7868A/ADE7878A 支持四种工作模式, 而 ADE7854A/ ADE7858A 支持两种工作模式 ; 工作模式由 PM0 和 PM1 引脚的状态决定 ( 参见表 9) 表 9. 电源模式电源模式 PM1 引脚 PM0 引脚 PSM0 正常功耗模式 PSM1 降耗模式 PSM2 低功耗模式 1 0 PSM3 休眠模式 仅适用于 ADE7868A 和 ADE7878A PM1 和 PM0 引脚控制 ADE7854A/ADE7858A/ADE7868A/ ADE7878A 的工作情况 这些引脚可方便地连接到外部微处理器输入 / 输出 PM1 和 PM0 引脚集成内部上拉电阻 ; 因此,ADE7854A/ADE7858A/ADE7868A/ADE7878A 默认为休眠模式 有关设置新功耗模式之前和之后的建议操作, 请参见表 11 和表 12 PSM0 正常功耗模式 ( 所有器件 ) PSM0 正常功耗模式下 (PSM0 模式 ),ADE7854A/ADE7858A/ ADE7868A/ADE7878A 能够执行全部功能 若要进入 PSM0 模式, 需将 PM1 引脚设为低电平, 而 PM0 引脚设为高电平 若器件处于 PSM1 PSM2 或 PSM3 模式, 则切换到 PSM0 模式时所有控制寄存器都会返回默认值, 但阈值寄存器 LPOILVL( 用于 PSM2 模式 ) 和 CONFIG2 寄存器除外 这些寄存器保留其编程值 ADE7854A/ADE7858A/ADE7868A/ADE7878A 通过将 IRQ1 中断引脚驱动至低电平并将 STATUS1 寄存器中的位 15 (RSTDONE) 设为 1, 来表示上电过程结束 上电过程中, 位 15 清零 ; 芯片进入 PSM0 模式时, 位 15 置位为 1 写入 STATUS1 寄存器并将 RSTDONE 位置 1 可清零状态位, 并使 IRQ1 引脚返回高电平状态 RSTDONE 中断无法屏蔽, 因为中断屏蔽寄存器中的位 15 (RSTDONE) 不起作用 PSM1 降耗模式 ( 仅限 ADE7868A 和 ADE7878A) PSM1 降耗模式 (PSM1 模式 ) 仅适用于 ADE7868A 和 ADE7878A 在 PSM1 模式下,ADE7868A/ADE7878A 测量三相电流的平均绝对值 (mav), 并将结果存储在 20 位 AIMAV BIMAV 和 CIMAV 寄存器中 在全失压的情况下, 并且 ADE7868A 或 ADE7878A 的电压采用外部电池供电时, PSM1 模式很有用 PSM1 模式下,I 2 C 或 SPI 串行端口使能, 可用于读取 AIMAV BIMAV 和 CIMAV 寄存器 不要读取任何其他寄存器, 因为 PSM1 模式下无法保证那些寄存器值的准确性 同理,ADE7868A/ADE7878A 在 PSM1 模式下也会忽略写操作 在 PSM1 模式下, 不要访问除了 AIMAV BIMAV 和 CIMAV 之外的任何其他寄存器 有关 ximav 寄存器的更多信息, 请参见 电流平均绝对值计算 仅限 ADE7868A 和 ADE7878A 部分 在 PSM0 模式下, 用于测量有效值估计值的电路也处于激活状态 ; 因此, 可以在 PSM0 模式或 PSM1 模式下完成该电路的校准 请注意,ADE7868A 和 ADE7878A 并未提供任何寄存器来存储或处理校准流程的校正结果 外部微处理器存储这些测量的增益值, 并用于 PSM1 模式下 PSM1 模式中执行的 20 位平均绝对值测量可以在 PSM0 中执行 然而,MAV 值与仅在 PSM0 模式中执行 并存储在 xirms 和 xvrms 24 位寄存器中的相位电流和电压有效测量值不同 更多信息, 请参见 电流平均绝对值计算 仅限 ADE7868A 和 ADE7878A 部分 配置为 PSM0 模式时, 若 ADE7868A/ADE7878A 设为 PSM1 模式, 则器件立即开始执行平均绝对值计算 这种情况下, 随时都可以访问 ximav 寄存器 ; 但是, 如果是在 PSM2 或 PSM3 模式下将 ADE7878A 或 ADE7868A 置于 PSM1 模式, 则 ADE7868A/ADE7878A 会通过将 IRQ1 引脚驱动为低电平来表示平均绝对值计算开始 只有在 IRQ1 引脚为低电平后, 才能访问 ximav 寄存器 PSM2 低功耗模式 ( 仅限 ADE7868A 和 ADE7878A) PSM2 低功耗模式 (PSM2 模式 ) 仅适用于 ADE7868A 和 ADE7878A 在没有电压输入且 ADE7868A/ADE7878A 采用外部电池供电时,PSM2 模式可以降低监控电流所需的功耗 PSM2 模式可以通过监控所有相位电流, 并与可编程阈值进行比较, 来检测是否存在零线断线窃电情况 如果任何相位电流在可编程周期内上升至可编程阈值以上, 则器件认为发生了窃电攻击事件 如果所有电流都保持在可编程阈值之下, 则未发生窃电攻击, 但发生了简单停电事件 出现零线断线窃电情况时, 外部微处理器将 ADE7868A/ ADE7878A 置于 PSM1 模式, 测量相位电流的平均绝对值, 然后根据这些数值和标称电压来求电能 此模式下 I 2 C 或 SPI 端口不可用 Rev. B Page 21 of 96

22 当 PGA1 增益为 1 或 2 时, 最佳选择是在 PSM2 模式下使用 ADE7868A/ADE7878A PGA1 表示电流通道数据路径中的增益 当 PGA1 增益为 4 8 或 16 时, 不可将 ADE7868A 或 ADE7878A 用于 PSM2 模式下 提供两种 PSM2 工作模式 :PSM2 中断模式和 PSM2 仅 IRQ1 模式 PSM2 中断模式是默认模式 如果可以使用外部定时器, 则应采用 PSM2 仅 IRQ1 模式 PSM2 电平阈值比较基于峰值检测方法 峰值检测电路根据正端电流通道输入 I AP I BP 和 I CP 进行比较 ( 见图 24) 若差分输入施加于电流通道, 则图 24 显示每个电流输入端 (I xp 和 I xn ) 的差分反相信号, 以及净差分电流 I xp I xn 拉低 IRQ1: 检测到零线断线窃电情况 在测量周期结束时拉低 IRQ1 引脚, 则表示至少一路电流输入高于设定的阈值, 而且虽然 ADE7868A/ADE7878A 引脚上并没有电压, 但有电流流过系统 这种情况表示存在零线断线窃电 此时, 外部微处理器将 ADE7868A/ADE7878A 置于 PSM1 模式, 测量相位电流的平均绝对值, 然后根据这些数值和标称电压来求电能 设置测量周期测量周期由 LPOILVL 寄存器 ( 地址 0xEC00) 中的位 [7:3] (LPLINE[4:0]) 定义 测量周期与线路频率无关, 定义为 : 测量周期 ( 秒 ) = 0.02 (LPLINE[4:0] + 10) +V p-p/2 I xp V p-p/2 +V p-p/2 I xp I xn +V p-p 设置阈值阈值由 LPOILVL 寄存器中的位 [2:0] (LPOIL[2:0]) 定义 ( 见表 10) 阈值电平适用于 PGA 置 1 时的信号电平 当 LPOIL[2:0] = 111 时, 阈值的绝对值变化范围通常为 ±30% 之间 I xn V p-p/2 I xp V REF (a) V p-p PEAK DETECT CIRCUIT (b) 图 24. PSM2 低功耗模式峰值检测 TAMPER INDICATION PSM2 中断模式 ( 默认 ) PSM2 中断模式下,ADE7868A/ADE7878A 在可编程周期时间内将所有相位电流与可编程阈值进行比较 在此时间内, 如果某个相位电流超过阈值, 则计数器递增 测量周期结束时, 如果单个相位计数器大于等于 LPLINE[4:0] + 1, 则拉低 IRQ1 引脚 如果在测量周期结束时, 所有相位计数器均在 LPLINE[4:0] + 1 以下, 则拉低 IRQ0 引脚 这样, 可以通过 IRQ0 和 IRQ1 引脚组合来确定测量结果, 如下所示 : 表 10. LPOILVL 寄存器 位 位名称 数值 说明 [2:0] LPOIL[2:0] 输入信号电平对应下列阈值 : mv rms 001 保留 010 保留 mv rms 100 保留 101 保留 110 保留 mv rms [7:3] LPLINE[4:0] 默认值为 PSM2 中断模式下的测量周期为 0.02 (LPLINE[4:0] + 10) 秒 PSM2 仅 IRQ1 模式下的测量周期为 0.02 (LPLINE[4:0] + 1) 秒 图 25 显示围绕每一个阈值电平的典型变化 ; 图 25 中的灰色区域表示功能可能无法获得预期一致结果的位置 灰色范围外的电流电平有助于检测窃电情形 例如, 将阈值设为 mv rms 可针对高于 mv rms 和低于 mv rms 的电流电平提供可靠的窃电检测结果 拉低 IRQ0: 未检测到窃电 测量周期结束后, 如果拉低 IRQ0 引脚, 则表示所有相位电流均低于定义阈值, 因此没有电流流过系统 这种情况下, 器件未检测到窃电条件 外部微处理器将 ADE7868A/ADE7878A 设为 PSM3 休眠模式 Rev. B Page 22 of 96

23 RATIO TO FULL SCALE 79mV rms 71mV rms 64mV rms LPOIL[2:0] = 000 若要禁用 IRQ0 引脚从而使能 PSM2 仅 IRQ1 模式, 可将 CONFIG2 寄存器 ( 地址 0xEC01) 中的位 2 (IRQ0_DIS) 置 1 选择此模式可定义建议测量周期, 公式如下 : DETECTS NONTAMPER CONDITIONS BELOW THIS LEVEL 1.18mV rms 1mV rms 0.88mV rms 0.707mV rms 0.471mV rms 0.353mV rms LPOIL[2:0] = 011 LPOIL[2:0] = 111 图 25. 围绕每一个阈值设置的变化 DETECTS TAMPER CONDITIONS ABOVE THIS LEVEL 图 26 显示 ADE7868A/ADE7878A PSM2 模式的特性 ( 此时 LPLINE[4:0] = 2) 50 Hz 时, 测试周期为 12 个周期 (240 ms); 相位 A 电流五次上升并超过 LPOIL[2:0] 阈值 由于计数器值高于 LPLINE[4:0] + 1 的内部计数器要求, 测试周期结束时拉低 IRQ1 引脚 该结果暗示发生了零线断线窃电 建议测量周期 ( 秒 ) = 0.02 (LPLINE[4:0] + 1) 由于测量周期中需要一次等待, 检查 IRQ1 中断状态前可使用一个外部定时器 测量周期可长于建议周期, 因为在 PSM2 模式下, 内部相位计数器会在整个时间段内连续递增 切换至 PSM3 模式, 然后返回 PSM2 模式会导致器件进入 PSM2 中断模式 ( 默认 PSM2 模式 ) PSM3 休眠模式 ( 所有器件 ) PSM3 休眠模式适用于所有器件 :ADE7854A ADE7858A ADE7868A 和 ADE7878A 在休眠模式下, 器件的大部分内部电路关断, 功耗处于最低水平 配置器件用于休眠模式时, 可将 RESET SCLK/SCL MOSI/SDA 和 SS/HAS 引脚设为高电平 在 PSM3 休眠模式下,I 2 C HSDC 和 SPI 端口不工作 PSM2 仅 IRQ1 模式 PSM2 ADE7868A 或 ADE7878A 仅 IRQ1 模式仅使用 IRQ1 引脚表示窃电事件 如果未发生窃电事件, 则 ADE7868A 和 ADE7878A 不提供信号 LPOIL[2:0] THRESHOLD IA CURRENT LPLINE[4:0] = 2 MEASUREMENT PERIOD = 12 CYCLES (50Hz) IRQ1 PHASE COUNTER = 1 PHASE COUNTER = 2 PHASE COUNTER = 3 图 26. PSM2 中断模式触发 IRQ1 引脚, 用于 LPLINE[4:0] = 2(50 Hz 系统 ) PHASE COUNTER = 4 AS PHASE COUNTER > LPLINE[4:0] +1, IRQ1 IS TRIGGERED PHASE COUNTER = Rev. B Page 23 of 96

24 表 11. 功耗模式及相关特性 LPOILVL 和 CONFIG2 功耗模式 寄存器 1 所有其他寄存器 I 2 C/SPI 端口 功能 PSM0 硬件复位后 设为默认值 设为默认值 I 2 C 端口使能 所有电路均处于活动状态, 且 DSP 处于空闲模式 软件复位后 不变化 设为默认值 如果之前执行了锁定程序, 则活跃串行端口不变 所有电路均处于活动状态, 且 DSP 处于空闲模式 PSM1( 仅限 ADE7868A 和 ADE7878A) PSM0 模式期间设定的值保持不变 不可用 I 2 C 或 SPI 端口使能, 但功能有限 计算电流平均绝对值并将结果存储在 AIMAV BIMAV 和 CIMAV 寄存器中 PSM2( 仅限 ADE7868A 和 ADE7878A) PSM3 PSM0 模式期间设定的值保持不变 PSM0 模式期间设定的值保持不变 1 除 LPOILVL 和 CONFIG2 寄存器之外的所有寄存器的设置 不可用 串行端口禁用 将相位电流与 LPOILVL 寄存器中的阈值设置进行比较, 然后相应触发 IRQ0 或 IRQ1 引脚 不可用 串行端口禁用 内部电路和关断 表 12. 更换功耗模式时的建议操作 初始功耗模式 PSM0 PSM1 ( 仅限 ADE7868A 和 ADE7878A) PSM2 ( 仅限 ADE7868A 和 ADE7878A) 设定下一功耗模式之前的建议操作通过将 RUN 寄存器设为 0x0000 来停止 DSP 通过将 CONFIG 寄存器中的位 6 (HSDCEN) 清 0 来禁用 HSDC 通过将 MASK0 和 MASK1 设为 0x0 来屏蔽中断 擦除 STATUS0 和 STATUS1 寄存器中的中断状态标志 下一功耗模式 PSM0 PSM1 PSM2 PSM3 立刻开始计算电流平均绝对值 (MAV) 可立刻访问 ximav 寄存器 等待直到拉低 IRQ0 或 IRQ1 引脚 无需任何操作 无需任何操作 等到拉低 IRQ1 引脚为止 等待直到拉低 IRQ0 或 IRQ1 引脚 无需任何操作 轮询 STATUS1 寄存器, 直到位 15 (RSTDONE) 置 1 为止 无需任何操作 等到拉低 IRQ1 引脚为止 等到拉低 IRQ1 引脚为止 无需任何 操作 轮询 STATUS1 寄存器, 直到位 15 (RSTDONE) 置 1 为止 拉低 IRQ1 引脚后开始计算电流平均绝对值 拉低 IRQ1 引脚后即可访问 ximav 寄存器 PSM3 无需任何操作 等到拉低 IRQ1 引脚为止 等到拉低 IRQ1 引脚为止 轮询 STATUS1 寄存器, 直到位 15 (RSTDONE) 置 1 为止 拉低 IRQ1 引脚后开始计算电流平均绝对值 拉低 IRQ1 引脚后即可访问 ximav 寄存器 等待直到拉低 IRQ0 或 IRQ1 引脚 Rev. B Page 24 of 96

25 上电程序 3.3V 10% VDD 2.5V ± 10% ADE78xxA PSM0 READY 0V MICROPROCESSOR SETS PM1 PIN TO 0; APPLY VDD TO IC POR TIMER TURNED ON ~26ms ADE7854A/ADE7858A/ADE7868A/ADE7878A 内置一个片内 电源监测器, 可以监测电源 (VDD) 上电时, 器件处于非活动状态, 直到 VDD 达到 2.5 V ± 10% 当 VDD 超过此阈值时, 电源监控器会继续使器件保持在此种非活动状态长达 26 ms, 从而让 VDD 达到建议的最小电源电压 3.3 V 10% PM0 和 PM1 引脚具有内部上拉电阻, 但有必要在对芯片上电前, 通过微控制器或通过将 PM1 引脚外部接地而将 PM1 引脚设为逻辑 0 由于内部上拉电阻, 只要保持高电平, PM0 引脚就可保持开路状态 这样可以确保 ADE7854A/ ADE7858A/ADE7868A/ADE7878A 始终上电至 PSM0( 正常 ) 模式 从芯片完全上电到所有功能使能所需的时间约为 40 ms ( 见图 27) 在整个上电过程中, 有必要确保 RESET 引脚保持高电平 如果只需要使用 PSM0 功耗模式, 则可通过外部将 PM1 引脚接地 当 ADE7854A/ADE7858A/ADE7868A/ADE7878A 进入 PSM0 模式时, 活跃串行端口是 I 2 C 端口 若要使用 SPI 端口, 可切换 SS/HAS 引脚三次, 从高电平切换到低电平 若要锁定 I 2 C 为活跃串行端口, 可将 CONFIG2 寄存器的位 1 (I2C_LOCK) 置 1 从该时刻开始, 器件会忽略 SS/HAS 引脚的杂散切换, 因而也就无法切换为使用 SPI 端口 如果 SPI 为活跃串行端口, 只要对 CONFIG2 寄存器执行任意写操作即可锁定该端口, 之后将无法切换为使用 I 2 C 端口 若要使用 I 2 C 端口, 则必须关断 ADE7854A/ADE7858A/ ADE7868A/ADE7878A, 或者必须拉低 RESET 引脚以复位器件 串行端口锁定后, 当器件从某个 PSMx 功耗模式进入另一个功耗模式时, 便会保留串行端口选择 ADE78xxA FULLY POWERED UP 图 27. 上电程序 ~40ms RSTDONE INTERRUPT TRIGGERED MICROPROCESSOR MAKES THE CHOICE BETWEEN I 2 C AND SPI 进入 PSM0 模式之后,ADE7854A/ADE7858A/ADE7868A/ ADE7878A 中的所有寄存器都会立即设为各自的默认值, 包括 CONFIG2 和 LPOILVL 寄存器 ADE7854A/ADE7858A/ADE7868A/ADE7878A 将 IRQ1 中断引脚拉低并将 STATUS1 寄存器中的位 15 (RSTDONE) 置 1, 来表示转换周期结束 转换周期期间, 该位清 0; 当转换结束时, 置位为 1 写入 STATUS1 寄存器并将 RSTDONE 位置 1 可清零状态位, 并使 IRQ1 引脚返回高电平状态 由于 RSTDONE 是不可屏蔽中断, 因此必须取消设置 STATUS1 寄存器的位 15 (RSTDONE), 以便使 IRQ1 引脚回到高电平 等到 IRQ1 引脚变为低电平之后, 再访问 STATUS1 寄存器来测试 RSTDONE 位的状态 此时, 作为一种良好的编程实践, 可通过将 1 写入相应位来取消 STATUS1 和 STATUS0 寄存器中的所有其他状态标志 DSP 最初处于空闲模式, 因此它并未执行任何指令 此刻可初始化 ADE7854A ADE7858A ADE7868A 或 ADE7878A 中的所有寄存器 有关初始化所有寄存器及启动计量的适当程序, 请参见 数字信号处理器 部分 如果电源电压 VDD 降至 2.5 V ± 10% 以下,ADE7854A/ADE7858A/ ADE7868A/ADE7878A 即会进入非活动状态, 这意味着器件不会执行任何测量或计算 硬件复位 ADE7854A ADE7858A ADE7868A 和 ADE7878A 集成 RESET 引脚 当 ADE7854A ADE7858A ADE7868A 或 ADE7878A 处于 PSM0 模式且 RESET 引脚设为低电平时, 器件就会进入硬件复位状态 器件必须处于 PSM0 模式才能执行硬件复位 在 PSM1 PSM2 或 PSM3 模式下, 将 RESET 引脚设为低电平对器件不起作用 Rev. B Page 25 of 96

26 当 ADE7854A ADE7858A ADE7868A 或 ADE7878A 处于 PSM0 模式且 RESET 引脚从高电平切换至低电平并在至少 10 µs 后回到高电平, 那么会将所有寄存器设为其默认值, 其中包括 CONFIG2 和 LPOILVL 寄存器 器件将 IRQ1 中断引脚拉低并将 STATUS1 寄存器中的位 15 (RSTDONE) 置 1, 来表示转换周期结束 转换周期期间, 该位清 0; 当转换结束时, 复位为 1 写入 STATUS1 寄存器并将 RSTDONE 位置 1 可清零状态位, 并使 IRQ1 引脚返回高电平状态 硬件 RESET 之后,DSP 处于空闲模式, 因此它并未执行任何指令 由于 I 2 C 端口是 ADE7854A/ADE7858A/ADE7868A/ ADE7878A 的默认串行端口, 因此会在复位之后变为活跃端口 如果外部微处理器要使用 SPI 端口, 则必须在 RESET 引脚切换回高电平之后立刻再次执行使能 SPI 端口的程序 ( 详情参见 串行接口选择 部分 ) 硬件复位后, 初始化 ADE7854A/ADE7858A/ADE7868A/ ADE7878A 的全部寄存器, 使能数据存储器 RAM 保护, 然后将 0x0001 写入 RUN 寄存器以启动 DSP 有关数据存储 RAM 保护和运行寄存器的更多信息, 参见 数字信号处理器 部分 软件复位 CONFIG 寄存器的位 7 (SWRST) 管理 PSM0 模式下的软件复位功能 此位默认值为 0 将位 7 置 1 可让 ADE7854A/ADE7858A/ ADE7868A/ADE7878A 进入软件复位状态 在此状态下, 除 CONFIG2 和 LPOILVL 外的所有内部寄存器复位至各自默认值 如果执行了锁定程序, 则选中的串行端口 I 2 C 或 SPI 保持不变 ( 参见 串行接口选择 部分 ) 软件复位结束时, 器件会将 CONFIG 寄存器的位 7 (SWRST) 清 0, 将 IRQ1 中断引脚设为低电平, 并将 STATUS1 寄存器的位 15 (RSTDONE) 置 1 转换周期期间,RSTDONE 位清 0; 转换结束时, 该位复位为 1 写入 STATUS1 寄存器并将 RSTDONE 位置 1 可清零状态位, 并使 IRQ1 引脚复位至高电平状态 软件复位后,DSP 处于空闲模式, 因此它并未执行任何指令 若要重启 DSP, 可执行下列步骤 : 1. 初始化所有 ADE7854A/ADE7858A/ADE7868A/ADE7878A 寄存器 2. 使能数据存储器 RAM 保护 3. 将 0x0001 写入 RUN 寄存器, 启动 DSP 有关数据存储 RAM 保护和运行寄存器的更多信息, 参见 数字信号处理器 部分 软件复位功能不适用于 PSM1 PSM2 或 PSM3 模式 Rev. B Page 26 of 96

27 工作原理模拟输入 ADE7868A/ADE7878A 具有七个模拟输入, 这些输入构成 电流和电压通道 ADE7854A/ADE7858A 具有六个模拟输 入, 但不提供零线电流输入通道 电流通道包括四对全差分电压输入 :IAP 和 IAN IBP 和 IBN ICP 和 ICN, 以及 INP 和 INN 允许的最大差分输入信号电压为 ±0.5 V( 峰值 ) 此外, 每个 IxP/IxN 对相对于 AGND 的最大信号电平为 ±0.5 V( 峰值 ) 这些输入上容许的最大共模信号为 ±25 mv 图 28 显示了电流通道输入电压范围及其与最大共模电压的关系 +500mV V CM 500mV V 1 + V mV DIFFERENTIAL INPUT V 1 + V 2 = 500mV MAX PEAK V CM COMMON MODE V CM = ±25mV MAX IAP, IBP, ICP, OR INP IAN, IBN, ICN, OR INN 图 28. 最大输入电平, 电流通道 ( 增益 = +1) 所有输入均具有一个可编程增益放大器 (PGA), 可选增益为 或 16 IAx IBx 和 ICx 输入的增益是由 GAIN 寄存器的位 [2:0] (PGA1[2:0]) 来设置的 仅限于 ADE7868A 和 ADE7878A,Inx 通道输入的增益是由 GAIN 寄存器的位 [5:3] (PGA2[2:0]) 来设置的 ; 因此, 可以选用与 IAx IBx 或 ICx 输入不同的增益 有关增益寄存器的信息, 请参见表 41 三个电压通道采用单端电压输入方式 :VAP VBP 和 VCP 这些单端输入端相对于 VN 的最大输入电压为 ±0.5 V 此外, VxP 和 VN 模拟输入相对于 AGND 的最大信号电平为 ±0.5 V 这些输入上容许的最大共模信号为 ±25 mv 有关电压通道输入及其与最大共模电压的关系, 参见图 29 V CM 500mV V 1 图 29. 最大输入电平, 电压通道 ( 增益 = +1) V 1 V 2 SINGLE-ENDED INPUT V 1 = 500mV MAX PEAK COMMON MODE V CM = ±25mV MAX V 1 V CM VAP, VBP, OR VCP 所有输入均具有一个可编程增益, 可选增益为 或 16 若要设置该增益, 请使用 GAIN 寄存器中的位 [8:6] (PGA3[2:0])( 参见表 41) VN 图 30 显示了电流和电压通道中 GAIN 寄存器的增益选择工作原理 ANALOG LOW-PASS FILTER R C IxP, VyP IxN, VN V IN NOTES 1. x = A, B, C, N. y = A, B, C. GAIN SELECTION K V IN 图 30. 电流和电压通道中的 PGA 模数转换 ADE7868A/ADE7878A 具有七个 Σ-Δ 型模数转换器 (ADC), 而 ADE7854A/ADE7858A 具有六个 Σ-Δ 型 ADC 在 PSM0 模式下, 所有 ADC 都处于活动状态 在 PSM1 模式下, 只有用于测量 A 相 B 相和 C 相电流的 ADC 处于活动状态 用于测量零线电流和 A B 及 C 相电压的 ADC 则处于关闭状态 PSM2 和 PSM3 模式下会关断 ADC, 以将功耗降至最低 为简明起见, 图 31 显示的是一阶 Σ-Δ 型 ADC 框图 该转换器由 Σ-Δ 型调制器和数字低通滤波器组成 + INTEGRATOR V REF CLKIN/16 图 31. 一阶 Σ- 型 ADC BIT DAC LATCHED COMPARATOR DIGITAL LOW-PASS FILTER Σ-Δ 型调制器以采样时钟决定的速率将输入信号转换为连续的 1 和 0 串行流 在 ADE7854A/ADE7858A/ADE7868A/ ADE7878A 中, 采样时钟等于 MHz (CLKIN/16) 反馈环路中的 1 位 DAC 由串行数据流驱动 DAC 输出从输入信号中减除 如果环路增益足够高,DAC 输出的平均值 ( 以及相应的位流 ) 就会接近输入信号电平的平均值 对于任意给定输入值, 一个采样间隔内的 1 位 ADC 的输出数据几乎毫无意义 只有对大量样本求平均值, 才可以获得有意义的结果 该均值操作在 ADC 的第二部分 数字低通滤波器中执行 通过求取调制器输出的大量位的平均值, 低通滤波器产生与输入信号电平成比例的 24 位数据字 Rev. B Page 27 of 96

28 Σ-Δ 型 ADC 利用两种方法通过 1 位转换技术实现高分辨率 第一种方法就是过采样 过采样意味着信号的采样速率 ( 频率 ) 比目标带宽高出许多倍 例如,ADE7854A/ADE7858A/ ADE7868A/ADE7878A 中的采样速率为 MHz, 而目标带宽为 40 Hz 至 2 khz 过采样具有将量化噪声 ( 采样引起的噪声 ) 散布于更宽带宽的效果 通过将噪声更加稀疏地分散到更宽的带宽上, 目标频段内的量化噪声会有所降低, 如图 32 所示 不过, 仅采用过采样技术并不足以改善目标频段内的信噪比 (SNR) 例如, 仅仅为了让 SNR 增加 6 db(1 位 ), 过采样系数就需要达到 4 为了将过采样率控制在合理范围内, 就需要对量化噪声进行整形, 从而使得大多数噪声位于较高频率中 在 Σ-Δ 型调制器中, 噪声是通过积分器进行整形的, 该积分器对量化噪声具有高通响应 这即是用于实现高分辨率的第二种方法 通过这种方法, 使得大多数噪声都位于较高频率中, 进而可以通过数字低通滤波器移除 噪声整形如图 32 所示 SIGNAL SIGNAL NOISE NOISE ANTIALIAS FILTER (RC) DIGITAL FILTER SHAPED NOISE SAMPLING FREQUENCY FREQUENCY (khz) HIGH RESOLUTION OUTPUT FROM DIGITAL LPF FREQUENCY (khz) 图 32. 模拟调制器中通过过采样和噪声整形实现降噪 抗混叠滤波器图 31 显示了 ADC 输入端上的模拟低通滤波器 (RC) 该滤波器位于 ADE7854A/ADE7858A/ADE7868A/ADE7878A 的外部, 其作用是防止出现混叠 混叠是所有采样系统中都存在的一种缺陷, 如图 33 所示 混叠是指 ADC 输入信号中的频率成分 ( 高于 ADC 采样速率的一半 ) 出现在频率低于采样速率一半的采样信号中 高于采样频率 ( 也称为奈奎斯特频率, 即 512 khz) 一半的频率成分被镜像或折回到 512 khz 以下 所有架构的 ADC 都会出现这种情况 在给出的示例中, 只有采样频率 ( 即 MHz) 附近的频率移动到目标计 量频段 ( 即 40 Hz 至 2 khz) 中 为了衰减高频 ( 接近 MHz) 噪声并防止目标频段出现失真, 必须引入低通滤波器 (LPF) 对于传统电流传感器, 可使用一个转折频率为 5 khz 的 RC 滤波器, 从而在采样频率为 MHz 时获得足够高的衰减 该滤波器的衰减性能为 20 db/ 十倍频程, 通常足以消除传统电流传感器的混叠效应 不过, 罗氏线圈等 di/dt 传感器具有 20 db/ 十倍频程的增益 这会消除 LPF 产生的 20 db/ 十倍频程的衰减作用 因此, 使用 di/dt 传感器时, 须注意抵消 20 db/ 十倍频程的增益 一种简单的方法是级联一个额外的 RC 滤波器, 从而产生 40 db/ 十倍频程的衰减 ALIASING EFFECTS FREQUENCY (khz) IMAGE FREQUENCIES 图 33. 混叠效应 SAMPLING FREQUENCY 1024 ADC 传递函数 ADE7854A/ADE7858A/ADE7868A/ADE7878A 中的所有 ADC 都可以针对相同的输入信号电平产生相同的 24 位有符号输出码 当输入为满量程输入信号 0.5 V 且内部基准电压为 1.2 V 时,ADC 输出码的标称值为 5,928,256 (0x5A7540) ADC 的输出码范围为 0x ( 8,388,608) 至 0x7FFFFF (+8,388,607); 这相当于输入信号电平为 ±0.707 V 不过, 为了获得额定性能, 请勿超过 ±0.5 V( 峰值 ) 的标称范围 ; 只有当输入信号低于 ±0.5 V( 峰值 ) 时, 才能够保证 ADC 性能 电流通道 ADC 图 35 显示 IA 电流通道的 ADC 和信号处理路径 IB 和 IC 电流通道也是如此 ADC 输出为带符号的 24 位二进制补码数字字, 输出速率为 8 ksps 采用 ±0.5 V( 峰值 ) 的额定满量程模拟输入信号时,ADC 产生最大输出码值 ;ADC 输出摆幅为 5,928,256 (0xA58AC0) 和 +5,928,256 (0x5A7540) 图 35 显示了施加于差分输入端 (IAP 和 IAN) 的满量程电压信号 IN 电流通道相当于三相系统的零线电流 ( 仅适用于 ADE7868A 和 ADE7878A) 如果不存在零线, 则将此输入端连接到 AGND 零线电流的数据路径与相电流的路径类似( 如图 36 所示 ) Rev. B Page 28 of 96

29 电流波形增益寄存器 各相和零线电流的信号路径中都具有一个乘法器 通过向这些 24 位带符号的电流波形增益寄存器 (AIGAIN BIGAIN CIGAIN 和 NIGAIN) 中写入相应的二进制补码数, 可以在 ±100% 范围内更改电流波形 例如, 将 0x 写入 xigain 寄存器可以将 ADC 输出调高 50% 若要将输入调低 50%, 则要向这些寄存器中写入 0xC00000 公式 3 通过数学方式描述了电流波形增益寄存器的工作方式 电流波形 = 流增益寄存器内容 ADC 输出 (3) 2 当 AIGAIN BIGAIN CIGAIN 或 NIGAIN 寄存器的内容发 生变化时, 所有基于电流的计算都会受到影响, 也就是 V IN IAP IAN +0.5V/GAIN PGA1 BITS GAIN[2:0] 1, 2, 4, 8, 16 PGA1 V IN REFERENCE ADC DSP HPFDIS [23:0] HPF 0x5A7540 = +5,928,256 INTEN BIT CONFIG[0] DIGITAL INTEGRATOR CURRENT CHANNEL DATA RANGE ADE7854A/ADE7858A/ADE7868A/ADE7878A AIGAIN[23:0] 0x5A7540 = +5,928,256 说, 这会影响对应相位的有功 / 无功 / 视在功率和电流均方 根计算 此外, 波形样本也会相应地调整 请注意,ADE7854A ADE7858A ADE7868A 和 ADE7878A 的串行端口采用 或 8 位字格式, 而 DSP 采用 28 位字格式 24 位 AIGAIN BIGAIN CIGAIN 和 NIGAIN 寄存器是作为 32 位寄存器来访问的, 其中四个最高有效位 (MSB) 以 0 填充, 并通过符号扩展至 28 位 ( 参见图 34) BIT NUMBER LPF1 BITS[27:24] ARE EQUAL TO BIT 23 BIT 23 IS A SIGN BIT 图 位 xigain 寄存器以 32 位控制字的形式传输 CURRENT PEAK, OVERCURRENT DETECT ZX DETECTION CURRENT RMS (IRMS) CALCULATION IAWV WAVEFORM SAMPLE REGISTER TOTAL/FUNDAMENTAL ACTIVE AND REACTIVE POWER CALCULATION CURRENT CHANNEL DATA RANGE AFTER INTEGRATION 0x5A7540 = +5,928,256 0V 0xA58AC0 = 5,928,256 ZX SIGNAL DATA RANGE V 0V 0V 0.5V/GAIN ANALOG INPUT RANGE 0xA58AC0 = 5,928,256 ADC OUTPUT RANGE 0xA58AC0 = 5,928, 图 35. 相位电流信号路径 INP PGA2 BITS GAIN[5:3] 1, 2, 4, 8, 16 REFERENCE DSP HPFDIS [23:0] INTEN BIT CONFIG[0] DIGITAL INTEGRATOR NIGAIN[23:0] CURRENT RMS (IRMS) CALCULATION V IN INN PGA2 ADC HPF INWV WAVEFORM SAMPLE REGISTER 图 36. 零线电流信号路径 ( 仅限 ADE7868A 和 ADE7878A) Rev. B Page 29 of 96

30 电流通道高通滤波器 ADC 输出可能包含直流失调 这一失调可导致功率和均方根计算出现误差 相电流 零线电流和相位电压的信号路径中放置了高通滤波器 (HPF) 使能后,HPF 会消除电流通道上的所有直流失调 所有这些滤波器均在 DSP 中实现, 并且默认情况下会全部使能 :24 位 HPFDIS 寄存器会被清除至 0x 而通过将 HPFDIS 设为任意非零值, 即可禁用所有这些滤波器 如 电流波形增益寄存器 部分中所述,ADE7854A ADE7858A ADE7868A 和 ADE7878A 的串行端口采用 或 8 位字格式 HPFDIS 寄存器是作为 32 位寄存器来访问的, 其中八个 MSB 以 0 填充 ( 参见图 37) BIT NUMBER 图 位 HPFDIS 寄存器以 32 位字的形式传输 电流通道采样器件以 8 ksps 的速率从 HPF 的输出端获取电流通道的波形并将其存储在 24 位带符号寄存器 IAWV IBWV ICWV 和 INWV( 仅限 ADE7868A 和 ADE7878A) 中 在此期间, 所有功率和有效值计算会不间断进行 当可以通过 I 2 C 或 SPI 串行端口访问 IAWV IBWV ICWV 和 INWV 寄存器时, STATUS0 寄存器的位 17 (DREADY) 置 1 通过将 MASK0 寄存器的位 17 (DREADY) 置 1, 可以在置位 DREADY 标志时触发中断请求 有关 DREADY 位的更多信息, 请参见 数字信号处理器 部分 如 电流波形增益寄存器 部分中所述,ADE7854A/ ADE7858A/ADE7868A/ADE7878A 的串行端口采用 或 8 位字格式 从器件访问 IAWV IBWV ICWV 和 INWV 24 位带符号寄存器 (INWV 仅适用于 ADE7868A/ADE7878A) 时, 这些寄存器会在传输时通过符号扩展至 32 位 ( 参见图 38) BITS[31:24] ARE EQUAL TO BIT BIT SIGNED NUMBER BIT 23 IS A SIGN BIT 图 位 IxWV 寄存器以 32 位带符号控制字的形式传输 ADE7854A/ADE7858A/ADE7868A/ADE7878A 具有一个专门设计的高速数据采集 (HSDC) 端口, 可以快速访问 waveform sample 寄存器 更多信息请参阅 HSDC 接口 部分 di/dt 电流传感器和数字积分器 di/dt 传感器检测交流电流的感应磁场变化 图 39 显示了 di/dt 电流传感器的工作原理 MAGNITUDE (db) PHASE (Degrees) MAGNETIC FIELD CREATED BY CURRENT (DIRECTLY PROPORTIONAL TO CURRENT) + EMF (ELECTROMOTIVE FORCE) INDUCED BY CHANGES IN MAGNETIC FLUX DENSITY (di/dt) 图 39. di/dt 电流传感器的工作原理 电流感生磁场的磁通密度与电流的幅度成正比 当穿过导线环路的磁通密度发生变化时, 环路两端之间即会产生电动势 (EMF) EMF 是一种与电流 di/dt 成正比的电压信号 带电导线和 di/dt 传感器之间的互感情况决定了 di/dt 电流传感器的电压输出 di/dt 传感器用作电源测量之前需经过电流信号的滤波处理 各相和零线电流数据路径上均内置一个数字积分器, 用于恢复来自 di/dt 传感器的电流信号 ADE7854A/ ADE7858A/ADE7868A/ADE7878A 上电时和复位后, 默认禁用数字积分器 通过将 CONFIG 寄存器的位 0 (INTEN) 置 1, 可以开启积分器 图 40 和图 41 显示了数字积分器的幅度和相位响应 请注意, 积分器具有 20 db/ 十倍频程的衰减和大约 90 的相移 与 di/dt 传感器结合使用时, 所产生的幅度和相位响应在目标频段上应该具有平坦增益 不过,di/dt 传感器具有 20 db/ 十倍频程的增益, 并会产生相当多的高频噪声 因此, 至少需要二阶抗混叠滤波器, 以免 ADC 采样时目标频段再次出现噪声混叠 ( 参见 抗混叠滤波器 部分 ) FREQUENCY (Hz) FREQUENCY (Hz) 图 40. 数字积分器的组合增益和相位响应 Rev. B Page 30 of 96

31 数字积分器算法采用 DICOEFF 24 位带符号寄存器 上电时或复位之后, 其值为 0x 开启积分器之前, 有必要将该寄存器初始化至 0xFFF8000 关闭该积分器后, 便不再使用 DICOEFF, 其值保持在 0x MAGNITUDE (db) PHASE (Degrees) FREQUENCY (Hz) FREQUENCY (Hz) 图 41. 数字积分器的组合增益和相位响应 (40 Hz 至 70 Hz) 如 电流波形增益寄存器 部分中所述, 该器件的串行端口采用 或 8 位数据格式 与图 34 中的寄存器类似,24 位带符号寄存器 DICOEFF 是作为 32 位寄存器来访问的, 其中四个 MSB 以 0 填充 ; 因此,24 位字通过符号扩展至 28 位, 即实际上是以类似 0xFFF8000 的形式传输的 当数字积分器关闭时,ADE7854A/ADE7858A/ADE7868A/ ADE7878A 可以直接与电流变压器 (CT) 等传统电流传感器配合使用 电压通道 ADC 图 42 显示了 VA 电压通道中的 ADC 和信号处理链 VB 和 VC 电压通道都具有类似的处理链 ADC 输出为带符号的 24 位二进制补码字, 输出速率为 8 ksps 采用 ±0.5 V( 峰值 ) 的额定满量程模拟输入信号时,ADC 可以产生其最大输出码值 图 42 显示了施加于差分输入端 (VAx 和 VN) 的满量程电压信号 ;ADC 输出摆幅为 5,928,256 (0xA58AC0) 和 +5,928,256 (0x5A7540) 电压波形增益寄存器各相电压的信号路径中都具有一个乘法器 通过向这些 24 位带符号的电压波形增益寄存器 (AVGAIN BVGAIN 和 CVGAIN) 中写入相应的二进制补码数, 可以在 ±100% 范围内更改电压波形 例如, 将 0x 写入那些寄存器可以将 ADC 输出调高 50% 若要将输出调低 50%, 则要向这些寄存器中写入 0xC00000 公式 4 描述了电流波形增益寄存器的工作方式 电压波形 = 压增益寄存器内容 ADC 输出 1 + (4) 23 2 当 AVGAIN BVGAIN 和 CVGAIN 寄存器的内容发生变化时, 所有基于电压的计算都会受到影响, 也就是说, 这会影响对应相位的有功 / 无功 / 视在功率和电压有效值计算, 且波形样本也会相应地调整 如 电流波形增益寄存器 部分中所述, 该器件的串行端口采用 或 8 位数据格式, 而 DSP 采用 28 位数据格式 如图 34 所示,AVGAIN BVGAIN 和 CVGAIN 寄存器是作为 32 位寄存器访问的, 其中四个 MSB 以 0 填充并通过符号扩展至 28 位 VOLTAGE PEAK, OVERVOLTAGE, SAG DETECT VAP PGA3 BITS GAIN[8:6] 1, 2, 4, 8, 16 REFERENCE DSP HPFDIS [23:0] AVGAIN[23:0] CURRENT RMS (VRMS) CALCULATION VAWV WAVEFORM SAMPLE REGISTER V IN VN PGA3 ADC HPF TOTAL/FUNDAMENTAL ACTIVE AND REACTIVE POWER CALCULATION V IN +0.5V/GAIN 0V 0x5A7540 = +5,928,256 0V VOLTAGE CHANNEL DATA RANGE LPF1 0x5A7540 = +5,928,256 ZX DETECTION ZX SIGNAL DATA RANGE 0.5V/GAIN ANALOG INPUT RANGE 0xA58AC0 = 5,928,256 ANALOG OUTPUT RANGE 0V 图 42. 电压通道数据路径 Rev. B Page 31 of 96 0xA58AC0 = 5,928,

32 电压通道 HPF 如 电流通道高通 部分所述,ADC 输出可能包含直流失调, 而后者可导致功率和有效值计算出现误差 与电流通道中的类似,HPF 会被放置在相电压的信号路径中 HPFDIS 寄存器可以使能或禁用这些滤波器 更多信息, 请参考 电流通道高通 部分 电压通道采样器件以 8 ksps 的速率从 HPF 的输出端获取电压通道的波形样本, 并将其存储在 24 位带符号寄存器 VAWV VBWV 和 VCWV 中 在此期间, 所有功率和有效值计算会不间断进行 当可以通过 I 2 C 或 SPI 串行端口访问 VAWV VBWV 和 VCWV 寄存器时,STATUS0 寄存器的位 17 (DREADY) 会置 1 通过将 MASK0 寄存器的位 17 (DREADY) 置 1, 可以在置位 DREADY 标志时触发中断请求 有关 DREADY 位的更多信息, 参见 数字信号处理器 部分 如 电流波形增益寄存器 部分中所述,ADE7854A/ ADE7858A/ADE7868A/ADE7878A 的串行端口采用 或 8 位字格式 与图 38 所示寄存器相似,24 位带符号寄存器 VAWV VBWV 和 VCWV 会在传输时通过符号扩展至 32 位 ADE7854A/ADE7858A/ADE7868A/ADE7878A 均具有一个专门设计的 HSDC 端口, 可以快速访问波形采样寄存器 详情参见 HSDC 接口 部分 更换相电压数据路径 ADE7854A/ADE7858A/ADE7868A/ADE7878A 可以将一个相位的电压输入送至另一相位的计算数据路径 例如, ADE7854A/ADE7858A/ADE7868A/ADE7878A 可以在 B 相计算数据路径中引入 A 相电压, 这意味着 B 相中的所有功率计算都基于 A 相电压和 B 相电流 CONFIG 寄存器的位 [9:8] (VTOIA[1:0]) 管理哪个相电压将被送至 A 相计算数据路径 如果 VTOIA[1:0] = 00( 默认值 ),A 相电压会被送到 A 相计算数据路径 如果 VTOIA[1:0] = 01,B 相电压将被送至 A 相计算数据路径 如果 VTOIA[1:0] = 10,C 相电压将被送至 A 相计算数据路径 如果 VTOIA[1:0] = 11, ADE7854A/ADE7858A/ADE7868A/ADE7878A 的表现会和 VTOIA[1:0] = 00 时一样 CONFIG 寄存器的位 [11:10] (VTOIB[1:0]) 管理哪个相电压将被送至 B 相计算数据路径 如果 VTOIB[1:0] = 00( 默认值 ), B 相电压会被送到 B 相计算数据路径 如果 VTOIB[1:0] = 01, C 相电压将被送至 B 相计算数据路径 如果 VTOIB[1:0] = 10, A 相电压将被送至 B 相计算数据路径 如果 VTOIB[1:0] = 11, ADE7854A/ADE7858A/ADE7868A/ADE7878A 的表现会和 VTOIB[1:0] = 00 时一样 CONFIG 寄存器的位 [13:12] (VTOIC[1:0]) 管理哪个相电压将被送至 C 相计算数据路径 如果 VTOIC[1:0] = 00( 默认值 ), C 相电压将被送至 C 相计算数据路径 ; 如果 VTOIC[1:0] = 01, A 相电压将被送至 C 相计算数据路径 如果 VTOIC[1:0] = 10, B 相电压将被送至 C 相计算数据路径 如果 VTOIC[1:0] = 11, ADE7854A/ADE7858A/ADE7868A/ADE7878A 的表现会和 VTOIC[1:0] = 00 时一样 IA VA IB VB IC VC APHCAL BPHCAL CPHCAL PHASE A COMPUTATIONAL DATAPATH PHASE B COMPUTATIONAL DATAPATH PHASE C COMPUTATIONAL DATAPATH 图 43. 不同数据路径中使用的相电压 VTOIB[1:0] = 10, PHASE A VOLTAGE DIRECTED TO PHASE B VTOIC[1:0] = 10, PHASE B VOLTAGE DIRECTED TO PHASE C VTOIA[1:0] = 10, PHASE C VOLTAGE DIRECTED TO PHASE A 图 43 显示了在 B 相数据路径中使用 A 相电压 在 C 相数据路径中使用 B 相电压以及在 A 相数据路径中使用 C 相电压的情况 电能质量测量过零检测 ADE7854A/ADE7858A/ADE7868A/ADE7878A 在相电流和相电压通道上都有过零 (ZX) 检测电路 零线电流数据路径不包含过零检测电路 过零事件可在各种电能质量测量和校准流程中用作时基 LPF1 输出产生过零事件 而低通滤波器旨在消除 50 Hz 和 60 Hz 系统的所有谐波, 并识别电流和电压通道的基波成分上的过零事件 该数字滤波器在 80 Hz 处有一个极点, 并且时钟速率为 256 khz 因此, 模拟输入信号 (IA IB IC VA VB 和 VC 信号对之一 ) 和 LPF1 输出之间存在相位滞后 50 Hz 系统的 ZX 检测误差为 (60 Hz 系统为 ) LPF1 的相位滞后响应会导致其输入和输出之间出现大约 31.4 或 1.74 ms(50 Hz 时 ) 的时间延迟 从模拟输入上出现过零信号到在 LPF1 之后获得 ZX 检测, 这之间的总延迟大约为 39.6 或 2.2 ms(50 Hz 时 ) ADC 和 HPF 会引入更多延迟 为确保过零检测具有良好的分辨率, 不能禁用 LPF1 图 45 显示了如何检测过零信号 Rev. B Page 32 of 96

33 为了进一步增强噪声保护, 电压通道中幅度小于 10% 满量程的输入信号不会产生过零事件 电流通道 ZX 检测电路对所有输入信号有效, 而与信号幅度无关 ADE7854A/ADE7858A/ADE7868A/ADE7878A 内置六个过零检测电路, 每相电压和电流通道一个 每个电路都会驱动 STATUS1 寄存器中的一个标志 如果置于 A 相电压通道中的电路检测到一个过零事件,STATUS1 寄存器中的位 9 (ZXVA) 会被置 1 类似地,B 相电压电路驱动位 10 (ZXVB) C 相电压电路驱动位 11 (ZXVC), 而置于电流通道中的电路驱动 STATUS1 寄存器的位 12 (ZXIA) 位 13 (ZXIB) 和 14 (ZXIC) 如果 MASK1 寄存器中的 ZX 检测位置 1,IRQ1 中断引脚变为低电平, 且相应状态标志置 1 通过写入 STATUS1 寄存器并将状态位置 1, 该状态位会被清除且 IRQ1 引脚变为高电平 MASK1 寄存器中的 ZXTOIx 或 ZXTOVx 位置 1 时, 对应的状态位可驱动 IRQ1 中断引脚至低电平 写入 STATUS1 寄存器并将状态位置 1 可清零状态位, 并使 IRQ1 引脚返回高电平状态 ZXTOUT 寄存器的分辨率为 62.5 μs/lsb( 时钟频率为 16 khz) 因此, 中断的最大超时期限为 s:216/16 khz 图 44 显示了电压或电流信号保持固定直流电平超过 62.5 μs ZXTOUT μs 时的过零超时检测机制 16-BIT INTERNAL REGISTER VALUE ZXTOUT 过零超时每个过零检测电路都对应一个超时寄存器 此寄存器载入写入 16 位 ZXTOUT 寄存器的值, 并每隔 62.5 μs( 时钟频率为 VOLTAGE OR CURRENT SIGNAL 0V 16 khz) 递减一次 (1 LSB) 每次检测到过零时, 寄存器就会 复位 ZXTOUT 值 此寄存器的默认值为 0xFFFF 如果该超时寄存器在检测到过零信号之前递减至 0, 则 STATUS1 的其 ZXTOxy FLAG IN STATUS1[31:0], x = V, I y = A, B, C 中一个位 [8:3] 会被置 1 STATUS1 寄存器的位 3 (ZXTOVA) 位 4 (ZXTOVB) 和位 5 (ZXTOVC) 分别对应于 A 相 B 相和 C 相电压通道 ; 而其中的位 6 (ZXTOIA) 位 7 (ZXTOIB) 和位 8 IRQ1 INTERRUPT PIN 图 44. 过零超时检测 (ZXTOIC) 分别对应于 A 相 B 相和 C 相电流通道 IA, IB, IC, OR VA, VB, VC PGA REFERENCE ADC DSP HPFDIS [23:0] HPF xigain[23:0] OR xvgain[23:0] LPF1 ZX DETECTION OR 50Hz IA, IB, IC, OR VA, VB, VC 0V ZX ZX ZX 图 45. 电压和电流通道上的过零检测 ZX LPF1 OUTPUT Rev. B Page 33 of 96

34 相序检测 ADE7854A/ADE7858A/ADE7868A/ADE7878A 内置片内逆相序检测电路 此检测作用于相电压, 并仅考虑过零事件 ( 依据由负到正跃迁来判断 ) 这些过零事件的常规顺序为先 A 相后 B 相再 C 相 ( 见图 47) 如果过零事件的顺序是先 A 相后 B 相再 C 相, 那么 STATUS1 寄存器的位 19 (SEQERR) 会被置 1 将 MASK1 寄存器中的位 19 (SEQERR) 置 1 并触发逆相序事件可驱动 IRQ1 中断引脚至低电平 写入 STATUS1 寄存器并将位 19 (SEQERR) 置 1 可清零状态位, 并使 IRQ1 引脚设为高电平 仅在器件采用三相四线三电压传感器配置连接方式 (ACCMODE 寄存器的位 [5:4] (CONSEL[1:0]) 设为 00) 时, 逆相序检测电路才会生效 在所有其它配置中, 仅会使用两个电压传感器 ; 因此, 这种情况下不应使用该检测电路 相反, 应当利用相电压之间的时间间隔来分析相序 ( 参见 相位时间间隔 部分 ) 图 46 显示了 A 相电压后跟 C 相电压 ( 而非 B 相电压 ) 的情况 每次出现由负到正的过零事件时,STATUS1 寄存器的位 19 (SEQERR) 都会被置 1, 因为 A 相 C 相或 B 相上的此类过零事件并不能跟在相应的 C 相 B 相或 A 相过零事件之后 PHASE A PHASE C PHASE B 相位时间间隔 ADE7854A/ADE7858A/ADE7868A/ADE7878A 能够测量相电压之间 相电流之间或同一相位的电压和电流之间的时间延迟 过零检测电路识别出的由负到正跃迁可以用作测量起始点和结束点 一次只能执行一组此类测量, 具体取决于 COMPMODE 寄存器的位 [10:9] (ANGLESEL[1:0]) PHASE A ZX A PHASE B ZX B PHASE C ZX C 图 47. A 相 B 相和 C 相的正常顺序电压和电流之间的延时若要测量同一相位的电压和电流之间的延迟, 可将 ANGLESEL[1:0] 位设为 00( 默认值 ) A 相电压和 A 相电流之间的延迟存储在 16 位无符号 ANGLE0 寄存器中 ( 参见图 48) 类似地,B 相和 C 相的电压和电流之间的延迟分别存储在 ANGLE1 和 ANGLE2 寄存器中 PHASE A VOLTAGE PHASE A CURRENT A, B, C PHASE VOLTAGES AFTER LPF1 ANGLE ZX A ZX C ZX B 图 48. A 相电压和 A 相电流之间的延迟存储在 ANGLE0 寄存器中 BIT 19 (SEQERR) IN STATUS1 REGISTER IRQ1 STATUS1[19] SET TO 1 STATUS1[19] CANCELLED BY A WRITE TO THE STATUS1 REGISTER WITH SEQERR BIT SET 图 46. A 相电压后跟 C 相电压时 SEQERR 位置 相电压之间的延时若要测量相电压之间的延迟, 可将 ANGLESEL[1:0] 位置 01 A 相电压和 C 相电压之间的延迟存储在 ANGLE0 寄存器中 B 相电压和 C 相电压之间的延迟存储在 ANGLE1 寄存器中, 而 A 相电压和 B 相电压之间的延迟存储在 ANGLE2 寄存器中 ( 参见图 49) 一旦检测到逆相序, 各相电压之间的时间测量 ( 参见 相位时间间隔 部分 ) 可以帮助识别哪一路相电压应该和哪一路相电流相关, 用于重新建立正确的计算数据路径 CONFIG 寄存器的位 [9:8] (VTOIA[1:0]) 位[11:10] (VTOIB[1:0]) 和位 [13:12] (VTOIC[1:0]) 可用于将一个相位的电压送至另一相位的数据路径 详情参见 更换相电压数据路径 部分 Rev. B Page 34 of 96

35 PHASE A PHASE B PHASE C 借助该周期寄存器, 可通过下式计算线路周期和频率 : ANGLE2 ANGLE1 ANGLE0 图 49. 相电压 ( 电流 ) 之间的延迟相电流之间的延时若要测量相电流之间的延迟, 可将 ANGLE- SEL[1:0] 位设为 10 与相电压之间的延迟类似,A 相电流和 C 相电流之间的延迟存储在 ANGLE0 寄存器中 ;B 相电流和 C 相电流之间的延迟存储在 ANGLE1 寄存器中, 而 A 相电流和 B 相电流之间的延迟则存储在 ANGLE2 寄存器中 ( 参见图 49) 功率因数 ANGLE0 ANGLE1 和 ANGLE2 寄存器都是 16 位无符号寄存器, 且 1 LSB 变化对应的时间为 μs( 时钟频率 256 khz), 这表示 50 Hz 系统的分辨率为 ( Hz/256 khz), 而 60 Hz 系统则为 ( Hz/ 256 khz) 相电压或相电流之间的延迟可用于评估负载的平衡特性 相电压和相电流之间的延迟可用于计算各相的功率因数, 如公式 5 所示 : 相电压骤降检测 ADE7854A/ADE7858A/ADE7868A/ADE7878A 可以通过编程, 用于检测是否有任意相电压峰值的绝对值在数个半波周期内降至设定值以下事件发生 发生此事件的具体相位是通过 PHSTATUS 寄存器的位 [14:12] (VSPHASE[x]) 来标识的, 图 50 为该条件的一个示例 图 50 显示了 A 相电压在四个半波周期 (SAGCYC = 4) 内降至骤降电平寄存器 (SAGLVL) 中设定的阈值以下 该事件发生时,STATUS1 寄存器的位 16 (SAG) 会被置 1, 因为该事件发生在 A 相上, 所以 PHSTATUS 寄存器中的位 VSPHASE[0] 也会置 1 通过写入 STATUS1 寄存器并将 SAG 位置 1,PHSTATUS 寄存器的所有位 [14:12] (VSPHASE[2] VSPHASE[1] 和 VSPHASE [0])( 而非 VSPHASE[0] 位 ) 会被擦除 PHASE B VOLTAGE FULL SCALE SAGLVL[23:0] SAGCYC[7:0] = 0x4 其中,f LINE = 50 Hz 或 60 Hz 周期测量 ADE7854A/ADE7858A/ADE7868A/ADE7878A 在电压通道中提供线路周期测量 MMODE 寄存器的位 [1:0] (PERSEL[1:0]) 选择用于此测量的相电压 该周期寄存器是 16 位无符号寄存器, 且每个线路周期更新一次 由于 LPF1 滤波器 ( 见图 45) 的建立时间为 30 ms 到 40 ms, 因此测量在该时间之后才会稳定 周期测量的分辨率为 μs/lsb( 时钟频率为 256 khz), 即表示线路频率为 50 Hz 时分辨率为 % (50 Hz/256 khz), 而线路频率为 60 Hz 时则为 % (60 Hz/256 khz) 50 Hz 网络的周期寄存器值大约为 5120 (256 khz/50 Hz), 而 60 Hz 网络大约为 4267 (256 khz/60 Hz) 该寄存器的长度确保可以测量低至 3.9 Hz (256 khz/2 16 ) 的线路频率 当线路完成建立且被测量的周期未发生变化时, 该寄存器会稳定在 ±1 LSB FULL SCALE SAGLVL[23:0] SAGCYC[7:0] = 0x4 BIT 16 (SAG) IN STATUS1[31:0] IRQ1 PIN VSPHASE[0] = PHSTATUS[12] VSPHASE[1] = PHSTATUS[13] PHASE A VOLTAGE 图 50. SAG 检测 STATUS1[16] AND PHSTATUS[12] CANCELLED BY A WRITE TO STATUS1[31:0] WITH SAG BIT SET STATUS1[16] AND PHSTATUS[13] SET TO Rev. B Page 35 of 96

36 SAGCYC 寄存器表示半波周期数, 相电压必须在此期间内始终位于 SAGLVL 寄存器所指定的电平之下才能触发 SAG 条件 ; 数值 0 对 SAGCYC 无效 例如, 如果 SAG 周期 (SAGCYC[7:0]) 为 0x07, 则表示线路电压将在七个半波周期内降至该阈值以下, 当第七个半波周期结束时, STATUS1 寄存器的 SAG 标志会被置 1 如果 MASK1 的位 16 (SAG) 置 1, 则在出现 SAG 事件时 ( 即 STATUS1 寄存器的状态位 16 (SAG) 置 1 时 ),IRQ1 中断引脚会变为低电平 通过写入 STATUS1 寄存器并将状态位置 1 可清零 STATUS1 寄存器中的 SAG 状态位以及 PHSTATUS 寄存器中的位 [14:12](VSPHASE[2] VSPHASE[1] 和 VSPHASE[0]), 且 IRQ1 引脚返回高电平 当 B 相电压在两个线周期内降至 SAGLVL 寄存器所设定的阈值以下时,PHSTATUS 寄存器的位 VSPHASE[1] 会被置 1, 而位 VSPHASE[0] 会被清 0 同时,STATUS1 寄存器的位 16 (SAG) 会被置 1, 以指示该事件发生 请注意, 内部过零计数器始终处于活动状态 因此, 通过设置 SAGLVL 寄存器来执行时, 第一个 SAG 检测结果不是在整个 SAGCYC 周期内获得的 在写入 SAGCYC 寄存器之前初始化 SAGLVL 可以复位过零计数器, 从而确保第一个 SAG 检测结果是在整个 SAGCYC 周期内获得的 若要管理 SAG 事件, 请遵循以下步骤 : 1. 将位 16 (sag) 置 1, 使能 MASK1 寄存器中的骤降中断 2. 发生骤降事件时,IRQ1 中断引脚变为低电平, 且 STATUS1 寄存器的位 16 (sag) 置 1 3. 读取 STATUS1 寄存器, 将位 16 (sag) 置 1 4. 读取 PHSTATUS 寄存器, 以识别发生 SAG 事件的相位 5. 写入 STATUS1 寄存器, 并将位 16 (SAG) 置 1 此时, 该 SAG 位和 PHSTATUS 寄存器的所有位 [14:12] (VSPHASE[2] VSPHASE[1] 和 VSPHASE[0]) 会立刻被擦除 骤降电平设置器件将 SAGLVL[23:0] 骤降电平寄存器的内容和 HPF 输出的绝对值做比较 通过向 SAGLVL 寄存器写入 5,928,256 (0x5A7540), 可以将骤降检测电平设为满量程 ( 参见 电压通道 ADC 部分 ), 从而连续触发骤降事件 通过写入 0x00 或 0x01, 可以将 SAG 检测电平设为 0, 从而永不触发 SAG 事件 峰值检测 ADE7854A/ADE7858A/ADE7868A/ADE7878A 记录电压和电流通道在数个半波周期内达到的最大绝对值, 并将其存储在 32 位寄存器 VPEAK 和 IPEAK 的低 24 位中 PEAKCYC 寄存器包含用作测量时基的半波周期数 电路采用过零检测电路识别的过零点 MMODE 寄存器的位 [4:2] (PEAKSEL[2:0]) 选择执行该峰值测量的相位 位 2 选择 A 相 ; 位 3 选择 B 相 ; 而位 4 则选择 C 相 选择监控一个以上相位的峰值时, 由于该过程中涉及到来自多个相位的过零事件, 因此 PEAKCYC 寄存器中指示的测量周期会按比例递减 当确定出现新的峰值时,IPEAK 和 VPEAK 寄存器的位 [26:24](IPPHASE[2:0] 或 VPPHASE[2:0]) 之一会被置 1, 以识别触发峰值检测事件的相位 例如, 如果发现 A 相电流出现了峰值, 则 IPEAK 寄存器的位 24 (IPPHASE[0]) 会被置 1 如果下次在 B 相上测量到新的峰值, 则 IPEAK 寄存器的位 24 (IPPHASE[0]) 会被清 0, 而 IPEAK 寄存器的位 25 (IPPHASE[1]) 会被置 1 图 51 显示了 IPEAK 和 VPEAK 寄存器的组成成分 PEAK DETECTED ON PHASE C IPPHASE/VPPHASE BITS BIT UNSIGNED NUMBER PEAK DETECTED ON PHASE B PEAK DETECTED ON PHASE A 图 51. IPEAK[31:0] 和 VPEAK[31:0] 寄存器的组成 图 52 显示了使能 A 相和 B 相测量 (MMODE 寄存器的 PEAK- SEL[2:0] 位为 011) 时 ADE7854A ADE7858A ADE7868A 和 ADE7878A 如何记录电流通道上的峰值 PEAKCYC 寄存器设为 16, 表示峰值测量周期为四个线路周期 在前四个线路周期内 (PEAKCYC = 16),A 相的最大绝对值最大, 因此在该周期结束时, 该最大绝对值写入 IPEAK 寄存器的低 24 位, 且 IPEAK 寄存器的位 24 (IPPHASE[0]) 置 1 在后四个线路周期的 PEAKCYC 周期内, 该位保持为 1 在后四个线路周期内,B 相的最大绝对值最大, 因此在该周期结束时, 该最大绝对值被写入 IPEAK 寄存器的后 24 位, 且 IPEAK 寄存器的位 25 (IPPHASE[1]) 会被置 ADE7854A/ADE7858A/ADE7868A/ADE7878A 的串行端口采用 或 8 位字格式 ( 参见 电流波形增益寄存器 部分 ) 与图 37 所示寄存器类似,SAGLVL 寄存器是作为 32 位寄存器来访问的, 其中八个 MSB 以 0 填充 Rev. B Page 36 of 96

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do

Microsoft Word - 工矿企业电气工程师手册P91例高压网络三相短路计算.do 工矿企业电气工程师手册 P91 例高压网络三相短路计算 1 2 3 4 5 6 7 8 9 10 11 12 工矿企业电气工程师手册 P91 例高压网络三相短路计算 菲律宾 BANAOANG 泵站工程 91 运行方式 高压网络三相短路计算 审查者: 校核者: 日期: 日期: 计算者: 日期: 1.网络结构数据 高压网络总数: 12 高压网络节点总数: 7 2.基本数据 2. 1 基准值 基准功率:

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

Microsoft Word - ATT7021manualcn.doc

Microsoft Word - ATT7021manualcn.doc 珠海炬力集成电路设计有限公司 ATT7021 用户手册 Page 1of 10 ATT7021 用户说明 特点 线性度好 一点校准误差可以保证误差小于 0.1 动态工作范围大于 500 1 高频输出脉冲适宜于计算机数据处理 低频输出脉冲能直接驱动脉冲电机 电流通道增益可调 在电流通道输入端可以使用小的电阻值锰铜 具有真正的反窃电功能逻辑输出 REVP 用于监测负功率, 能够精确测量正负两个方向的有功功率,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V Power Electronics Testings www.chromaate.com Turnkey Test & Automation Solution Provider w w w.chromaate.com Chroma 1. 62000H-S I-V (MPPT) 2. 66200 3. 6500/61500/61800 61800 4. 63800 4 5 9 3 Chroma I-V

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

双竞具体产品名称

双竞具体产品名称 概述 双竞集成 脉冲输出的电能计量集成电路 GC7755A 是一种高准确度电能测量集成电路 它只在 ADC 和基准源中使用模拟电路, 所 有其它信号处理 ( 如相乘和滤波 ) 都使用数字电路, 这使 GC7755A 在恶劣的环境条件下仍能 保持极高的准确度和长期稳定性 GC7755A 引脚 F1 和 F2 以较低频率形式输出有功功率平均值, 能直接驱动机电式计度器 或与微控制器 (MCU) 接口 引脚

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

G30

G30 I/O R TD Modbus RTU RS Modbus RTU TCP/IP MB MMI 0 I/O RTD A V W var VA PF Hz Wh varh LED L CD RS 9,00pbs BaseT Mbs ModBus TM RTU ModBus TM TCP/IP (DNP) EnerVista R TD 5 6 95 96 www.gemultilin.com/cn 69

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK SK 9000 ... 2 SK 9000... 4... 4... 5 SK 9000... 7... 9 Command KA 9000 COM... 9 SK 9000... 10 / SK 9000... 10 / Autolock... 12... 13... 14 SK 9000... 17... 18... 19... 19... 20 SK 9000... 20 ZH RU PT NL

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - 附件11_2_.doc

Microsoft Word - 附件11_2_.doc 附件 11 版本号 :FH-2013-001 柔性端头多层片式陶瓷电容器 MLCC WITH FLEX ITERM 一 特性 具有高强度的抗弯曲性能, 下弯可达到 3mm 可增加温度周期变化次数, 最多 3000 次 采用柔性端头体系 可减少线路板因弯曲导致的失效故障 应用范围 应用于高弯曲的线路板 应用于温度变化的线路 应用于汽车推进系统 一 FEATURE High mechanical performance

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

2001/07/13 DST4600A DST4600A

2001/07/13 DST4600A DST4600A 2001/07/13 DST4600A 1... 1 1.1... 1 2 DST4600A... 1 2.1... 1 2.1.1... 1 2.1.2... 2 2.1.3... 2 2.1.4... 2 2.1.5... 3 2.1.6... 3 2.1.7... 3 2.1.8... 3 2.1.9... 4 2.1.10... 4 2.2... 4 2.2.1... 4 2.2.2...

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

s 2002

s 2002 s 2002 List of all Catalogs from Electrical Installation Technology (ET) Electrical Installation Catalogs Technology ( / ) Miniature Circuit-Breakers, Residual Current Operated Circuit- Breakers and Modular

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

Material

Material 东磁 MnZn 铁氧体材料主要应用 Application Area Frequency Range Material Main Features μi Bs Bs 1 Pcv Pcv 1 Tc( ) 28

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information