MSP430 常见问题解答

Size: px
Start display at page:

Download "MSP430 常见问题解答"

Transcription

1 MSP430 FAQ 1. 我无法在器件数据表中找到与 MSP430 器件外设模块相关的信息, 在哪里可找到这些信息? 基本上,MSP430 器件有 3 个主要文档 : 器件数据表 : 包含器件专用信息, 诸如器件上可用的外设列表 存储器组织结构 电气特性等 系列用户指南文档 : 包含与 MSP430 器件系列 ( 例如 1xx,2xx,4xx,5xx/6xx) 的内部模块 (CPU, 外设等 ) 相关的通用信息 勘误表 : 包含错误说明列表, 以及封装标记 这基本上是主要参考文档, 其中包括了不同版本产品的差异性 2. 如何从封装标记中读取芯片的修订版本? 可在器件专用勘误表中找到从封装标记中读取芯片修订版本的相关信息 ( 连同诸如年月日代码 批次追踪代码和组装地点代码等其他信息 ) 3. 在 MSP430 器件上有任何诸如器件 ID 的信息吗? 请参考 JTAG 编程用户指南 tidoc:slau320, 有一个标题为 整个器件系列的 JTAG 特性 的表格 这个表格包含所有 MSP430 器件的器件 ID 之前 1xx/2xx/4xx 器件上的器件 ID 并不是每个器件所特有, 而是专门针对每个子系列产品 ( 例如, 所有 MSP430F13x,MSP430F14x 和 MSP430F14x1 具有一样的器件 ID 0xF1 和 0x49) 在 MSP430F5xx/6xx/FRxx 器件中, 可通过使用 器件描述符表 中的 芯片记录 字段来创建一个唯一的器件 ID, 此表格通常位于器件专用数据表的末尾 以下链接显示了器件描述符表 MSP430:

2 4. 我在哪里可以找到 MSP430 应用说明列表? 请参考这一链接 5. 有 MSP430 在线培训吗? 有的, 请查阅此处

3 6. 用哪个算法计算 5xx/6x 器件上的 TLV 校验和? 使用的算法是具有以下参数的 CRC_CCITT: 初始值 ( 种子值 ): 0xFFFF 多项式 : 0x1021 间接 : 假反向数据 : 假最终 XOR 之前的反向 CRC: 假最终 XOR 值 : 0x0 CRC 的地址范围为 0x1A04 0x1AFF 7. MSP430F471xx INFOA 存储器上提供校准数据吗? 不提供 数据表并未明确指出这一点, 但是生产后未在 MSP430F471x 的 INFOA 内传送校准数据 8. 有任何与 MSP430 器件可靠性相关的信息吗? 请参考 TI 可靠性估算器 9. 如何在具有 USB 接口的 MSP430 器件上分配 USB VID( 供应商 ID) 和 PID ( 产品 ID) 基本上, 具有 USB 接口的 MSP430 器件的 VID 和 PID 号不是 固化 在硬件中, 而是由免费且开源的 USB 堆栈软件指定 请参考 MSP430USBDEVPACK 来下载 USB 软件堆栈和 USB 描述符工具, 此工具被用来生成包含 USB 描述符在内的 USB 堆栈所需要的配置信息 ( 其中包括 VID 和 PID) 的头文件 TI 为客户提供使用 TI USB VID( 供应商 ID) 配合客户的独特 PID 的可能性 在以下链接中发送 VID 分配计划 请求 : 如何获得与 MSP430 全新器件路线图相关的信息? 与全新器件路线图相关的信息并未公开发布 请联系 TI 当地销售办公室或 TI 授权分销商来获得这些信息

4 11. 迁移指南 下面是 MSP430 系列器件间的迁移指南列表 迁移为原先为链接注释 MSP430FR58xx, MSP430FR59xx MSP430F2xx, MSP430G2xx tidoc:slaa559 MSP430FR58xx, MSP430FR59xx MSP430F5xx, MSP430F6xx tidoc:slaa555 MSP430FR57xx MSP430F2xx tidoc:slaa499 MSP430F5xx MSP430F2xx, MSP430F4xx tidoc:slaa396 MSP430F541xA/F543xA MSP430F541x/F543x tidoc:slaa419 MSP430F21x2 MSP430F12x(2) tidoc:slaa421 MSP430F13x/14x MSP430F23x/24x tidoc:slaa381 MSP430F16x MSP430F261x tidoc:slaa380 MSP430F42x MSP430F42xA 器件是硬件 ( 引脚到引脚 ) 和软件兼容的 ( 可通过比较 CCS/IAR 头文件查看 ; 也许只需在 IDE 项目中更改器件类型并重新编译 ) 只是硬件参数有所不同 ( 请参考器件数据表 tidoc:slas241)

5 MSP430F11x1 MSP430F11x1A 器件是硬件 ( 引脚到引脚 ) 和软件兼容的 ( 可通过比较 CCS/IAR 头文件查看 ; 也许只需在 IDE 项目中更改器件类型并重新编译 ) 只是硬件参数有所不同 ( 请参考器件数据表 & 勘误表 tidoc:slas587, tidoc:421)

6 工具和编程 12. 我是 MSP430 的初学者, 我如何用更加高效和快速的方法来开发我的应用? 如果你正在使用 C 语言进行编程 ( 现在很常见 ), 在开始使用全新微控制器平台时最困难的是了解外设 CPU 本身不是问题, 这是因为代码由 C 语言编写 因此, 研究 TI 提供的可能性, 使你在使用这里的 MSP430 外设时更加轻松 : MSP430 软件, 其中包括 : 示例代码 : TI 提供很多针对每个 MSP430 器件的示例代码 GRACE: 用来设置 / 初始化 MSP430 外设的图形用户界面 MSP430ware: 所有示例代码的扩展集 驱动程序库 ( 用于 5xx,6xx 和 FRAM 器件 ) 针对所有 MSP430 器件的用户指南

7 13. TI 是否提供针对我的 MSP430 的开发套件 / 电路板? TI 提供针对所有 MSP430 器件的开发套件, 但是并不在所有封装中都提供 通常情况下, 可以在如下显示的器件产品网页上的 软件和开发工具 部分内找到开发套件

8 14. 哪个 MSP430 目标器件为我的 FET( 闪存仿真工具 ) 提供支持? 这些信息可在 MSP430 硬件工具用户指南 中找到 (tidoc:slau278), 如下所示 : 基本上, 上面的这个列表显示 FET 工具和目标器件 ( 由 TI 提供品质保证和支持 ) 间的相互关系 这意味着, 基本上可以使用一个 FET 工具来编辑上面列表中未列出的其他器件, 但是在这个情况下, 万一此工具不起作用时,TI 将不提供支持或排错

9 15. 我如何用 CCSTUDIO 或 IAR 生成 TI TXT 输出文件? 请参考以下维基网页 : 生成 _ 并 _ 加载 _MSP430_ 二进制 _ 文件 16. 哪个软件可被用来将二进制 ( 例如 TI TXT) 文件下载 / 迅速存储到我的 MSP430 目标器件中? 使用 MSP430 Flasher 或者 Elprotronic FET Pro-430 Lite 软件来下载 / 快速存储 / 编辑 MSP430 目标器件 这两款软件都是免费的 17. 我的 IDE (IAR/CCS) 中使用哪个版本的 MSP430.DLL? 使用视窗浏览器, 打开这里提及的包含 DLL 文件的缺省文件夹 : MSP430/HIL DLL 缺省文件夹, 并单击 DLL 文件 此信息应该被如下显示在视窗浏览器的底部 :

10 18. MSP430 器件的推荐 JTAG 引脚分配是什么样的? 请参考以下维基网页 19. 我在哪里能够找到具有 JTAG 类型 (4 线制或 2 线制 ) 的 MSP430 器件的列表? 这些信息可经由 JTAG 用户指南在 MSP430 编程中找到 : tidoc:slau320, 表 1-14 整个器件系列的 JTAG 特性 20. TI 是否为批量生产提供 MSP430 工具编辑器? 是的, 请参见 MSP-GANG 21. MSP430 JTAG 与 IEEE 间的兼容性如何? MSP430 JTAG 接口执行由 IEEE 标准 规定的测试访问端口状态机 (TAP 控制器 ) 然而, 有一些对于 MSP430 JTAG 的限制 ( 不符合 IEEE 标准 ): MSP430 必须是 JTAG 链中的第一个器件 ( 这是因为通过 TDI 和 JTAG 熔丝检查序列计时 ) 没有 MSP430 器件具有边界扫描单元 只支持 BYPASS 指令 不支持 SAMPLE,PRELOAD, 或 EXTEST 指令 JTAG 引脚与特定器件上的端口功能共用 ; 由 TEST 引脚控制 JTAG 功能 22. 我在哪里能找到针对 MSP 器件的 BSDL( 边界扫描描述语言 )? 由于 MSP430 JTAG 与 IEEE 不是 100% 兼容, 所以它不支持边界扫描 请参见 #MSP430 JTAG 与 IEEE 间的兼容性如何?

11 23. MSP-GANG430 使用哪个校验和算法来验证存储器内容? MSP-GANG430 使用下面显示的 PSA( 伪签名分析 ): for (PSA = StartAddr - 2, i = 0; i < Length; i++) { if (PSA & 0x8000) PSA = ((PSA ^ 0x0805) << 1) 1; else PSA <<= 1; } PSA ^= Data[i]; 24. 我如何编译 BSL 脚本解释器和 SLAU319 中的 BSLDEMO2 源代码? 从 SLAU319 的版本 E 开始 (tidoc:slau319), 源代码与 Microsoft Visual Studio 项目文件一同交付 25. MSP430F54xx( 非 A) 器件有 SYS4 错误, 但是我仍然可以擦除且重新编辑 BSL 这怎么可能? 擦除或写覆盖 MSP430F54xx( 非 A) 器件的 BSL 在技术方面都是可能的, 但是不建议这么做, 这是因为有些错误会使得 F5438 非主存储器闪存中的代码执行不可靠 非常详细的工作区曾经被用于 F5438 BSL 执行 在大多数时间里, 不可能从 F5438 中的非主闪存中成功执行代码 26. 如何在 CCSTUDIO 中找到 MSP430 应用的存储器大小? 缺省情况下, 当 CCSTUDIO 已经成功编译代码时, 它将生成一个 MAP 文件 ( 缺省情况下, 在 调试 文件夹下, 名称为 <PROJECT_NAME>.map) 在 MAP 文件内, 有一个存储器段列表, 连同与已使用和未使用存储器大小相关的信息 这些存储器段主要源自链接器命令文件 (lnk_msp430xxxxx.cmd) 计算存储器大小并未考虑从堆存储器中动态分配的存储器 ( 例如, 使用 malloc() 函数 ) 以下示例取自针对 MSP430G2553 的简单闪烁 LED 的 MAP 文件 : 存储器配置 名称源长度已使用未使用属性填充

12 SFR RWIX PERIPHERALS_8BIT f f0 RWIX PERIPHERALS_16BIT RWIX RAM b0 RWIX INFOD RWIX INFOC RWIX INFOB RWIX INFOA c RWIX FLASH 0000c fe b f2e RWIX INT ffe RWIX INT ffe RWIX INT ffe RWIX INT ffe RWIX INT ffe RWIX INT ffea RWIX INT ffec RWIX INT ffee RWIX INT fff RWIX INT fff RWIX INT fff RWIX INT fff RWIX INT fff RWIX INT fffa RWIX INT fffc RWIX RESET 0000fffe RWIX 有一个从 CCS v5.x 中启动的基于 MAP 文件内容的存储器分配的图形化表示 此图形工具的访问方法如下 : "View" -> "Other..." -> "Code Composer Studio" -> "Memory Allocation".

13 27. 如何调用 BSL? 基本上, 有两个 BSL 类型 : UART BSL 和 USB BSL 可在复位期间运用一个特殊的 BSL 进入序列来调用 UART BSL, 如下所示 : ( 具有共用 JTAG 引脚的器件有 TEST 引脚, 而具有专用 JTAG 引脚的器件没有 TEST 引脚 ) 当器件由 VBUS 供电时, 在满足以下两个条件中的任何一个时可调用 USB BSL: 器件由 USB 供电且复位矢量为空 器件在 PUR 引脚被接至 VBUS 时加电 28. BSL 脚本解释器使用哪个 RS232 引脚连接 RST 和 TEST/TCK 信号? BSL 脚本解释器和 BSLDEMO 使用 DTR 引脚来控制 RST 信号, 而使用 RTS 引脚来控制 MSP430 目标器件上的 TEST/TCK 信号

14 29. 如何在 CCSTUDIO 中找到我正在使用的编译器版本? 前往 "Project" -> "Properties", 并选择 "General" 选项, 你可以在 "Compiler Version" 下找到此信息 :

15 30. 如何启用到 MSP430F5xx/6xx 器件中 BSL 闪存存储器的访问? 根据缺省情况, 到 MSP430F5xx/6xx 器件 BSL 闪存存储器的访问受到 SYSBSLC 寄存器内 SYSBSLPE 位的保护 因此, 为了能够获得访问权限, 需要将此保护关闭 通常情况下, 调试器 / 程序设计器将具有一个额外选项 : 谨记 : 某些已发布的 5xx/6xx BSL 的源代码和二进制文件可在 SLAA450 应用说明的相关 / 随附文件中找到 调试器 / 程序设计器 BSL 访问选项 CCS (Code Composer Studio)

16 IAR EWB

17 Elprotronic FET- Pro430 MSP-GANG

18 MSP430 Flasher -b 参数 31. 编程期间如何保护 MSP430x2xx 器件上 INFOA 存储器中的校准数据? 缺省情况下, 对 MSP430F2xx/6xx 器件内包含校准数据的 INFOA 存储器的访问会受到 FCTL3 寄存器的 LOCKA 位的保护 只要 LOCKA 位保持置位, 任何批量擦除命令将不会擦除 infoa 存储器 通常情况下, 调试器 / 程序设计器有用来启用对 InfoA 存储器进行擦除操作的一个额外选项 : 调试器 / 程序设计器 BSL 访问选项 CCS (Code Composer Studio)

19 IAR EWB Elprotronic FET- Pro430

20 MSP-GANG MSP430 Flasher -u 参数

21 32. 如何在 CCS 中阻止警告消息? 可按照以下的方法, 通过使用 --diag_suppress 编译器选项来阻止 CCSTUDIO 中的警告消息 : 这将在 CCS 项目的整个源代码内全局阻止警告消息 如果只应在特定代码部分中本地阻止警告消息, 可使用 pragma diag_suppress' 和 diag_default : #pragma diag_suppress=warning_num // all warning messages with WARNING_NUM in this section will be suppressed... #pragma diag_default=warning_num 下面显示了一个示例 :

22 如上所示, 编译器基本上应该返回针对 test_func1 和 test_func2 的警告消息, 这是因为两个函数基本上应该根据函数类型声明传递一个返回值 然而, 由于 test_func1 在 pragma diag_suppress 和 diag_default 的范围内, 这样就禁用 / 阻止了警告消息, 编译器只给出针对 test_func2 的警告消息

23 33. 计算 MSP430 BSL 校验和 以下 javascript 可被用来计算 MSP430 BSL 校验和值 : 文件 :MSP430 BSL CHK Javascript.zip 免责声明 : 此脚本应该 按现状 使用, 没有任何支持或担保 34. 可以调试一个正在运行的 MSP430 器件吗? 请参考以下维基网页 : MSP430_-_ 连接 _ 至 _ 一个 _ 正在运行的 _ 目标 35. 我在哪里能找到 CCSTUDIO 和 IAR 固有函数和参数的列表? 这些固有函数在名为 "in430.h" 的头文件内声明, 而参数 ( 例如, 针对 bis_sr_register() 的 LPM0_bits) 在器件专用头文件中定义 ( 例如, 对于 MSP430FR5969 为 "msp430f5r5969.h") 通常可在以下目录中找到的头文件 : CCS v5: <CCS_BASE_DIRECTORY>\ccsv5\ccs_base\msp430\include IAR: C:\Program Files\IAR Systems\Embedded Workbench x.y_z\430\inc 提示和技巧 36. 有没有在 P1 和 P2 以外端口的引脚上获得中断的方法? 根据缺省设置, 只有 P1 和 P2 可以获得 GPIO 输入中断 然而, 有一些小技巧或许可以模拟其他端口引脚上的中断 : MSP430_-_ 其他 _GPIO_ 中断 37. 如何分配正确的 Timer_A 中断矢量? 基本上, 每个 Timer_A 具有两个中断矢量 : 一个用于 CCR0 另外一个用于 TAIFG 和剩余的 CCRx CCS 和 IAR 头文件中的中断矢量的格式为 TIMER(X)_A(Y)_VECTOR, 其中 : x 是模块号 ( 例如, 对于 MSP430G2553 来说, 它具有两个 TImer_A 模块,TA0 和 TA1: 0=TA0, 1=TA1)

24 Y 是矢量号 (0 = CCR0,1 = TAIFG & 其他 CCR) 38. 有可能生成软件复位吗? 生成软件复位的最简单方法是使用下面的看门狗定时器 : #define SW_RESET() WDTCTL = WDT_MRST_0_064; while(1); // watchdog reset 在具有 PMM( 电源管理模块 ) 的 5xx/6xx 和 CC430 器件上, 可用如下方式生成软件 BOR 和软件 POR: #define SW_RESET() BOR reset #define SW_RESET() POR reset PMMCTL0 = PMMPW + PMMSWBOR + (PMMCTL0 & 0x0003); // software PMMCTL0 = PMMPW + PMMSWPOR + (PMMCTL0 & 0x0003); // software

25 39. 可以检索引起复位的原因吗? 在 5xx/6xx 器件上, 可以通过校验 SYSRSTIV 寄存器来检查最近一次复位的原因 为了能够正确地对其进行调试, 启动时, 需要使用如下的低级别 C 语言初始化函数来保存 SYSRSTIV 寄存器值 : 对于 CCS 编译器 : // global variable for storing the reset cause #pragma NOINIT (SysRstIv); unsigned int SysRstIv; int _system_pre_init(void) { // stop WDT WDTCTL = WDTPW + WDTHOLD;

26 // save reset information SysRstIv = SYSRSTIV; } // Perform C/C++ global data initialization return 1; 对于 IAR 编译器 : // global variable for storing the reset cause no_init unsigned int SysRstIv; int low_level_init(void) { // stop WDT WDTCTL = WDTPW + WDTHOLD; // save reset information SysRstIv = SYSRSTIV; } // Perform data segment initialization return 1; 40. 我如何禁用闪存存储器访问来保护我的 IP? 访问闪存存储器主要有三种方法 : 经由 JTAG 访问 经由 BSL( 引导加载程序 ) 经由应用程序中执行的定制访问 ( 可选 ) 为了禁用经由 JTAG 的闪存访问,JTAG 熔丝应该被烧断 在之前的 1xx,2xx,4xx 器件上,JTAG 熔丝的实现方式为物理熔丝, 而在 5xx/6xx 器件上为电子熔丝 可通过擦除 BSL 存储器闪存来禁用 5xx/6xx 器件上的 BSL, 或者在某些之前的 2xx 器件上, 通过禁用 JTAG( 通过常见于中断矢量表下的特殊寄存器的设置 ) 来达到此目的

27 41. 调试器 / 程序设计器工具意外地报告 JTAG 熔丝被烧断, 我能对此做些什么吗? 与之前使用物理 JTAG 熔丝的 1xx,2xx,4xx 器件不同,5xx/6xx/FRAM 器件主要采用电子 JTAG 熔丝 ( 例如, 请参考 5xx/6xx 用户指南 文档 章 经由电子熔丝的 JTAG 锁定机制 ) 只要 BSL 未被禁用 / 擦除, 就有可能经由 BSL 来检查 JTAG 的状态 要获得与 MSP 相关的更多信息, 请参考 MSP430 BSL 维基网页 : BSL_(MSP430). TODO: 为其提供示例 BSL 脚本 42. 可以重新分配 MSP430 上的中断矢量吗? 在 5xx/6xx 系列器件上, 可以通过设置 SYSCTL 寄存器的 SYSRIVECT 来将中断矢量重新分配至 RAM 通过将中断矢量重新分配至 RAM,BSL 代码能够使用中断, 其中 BSL 的中断矢量将不会与用于应用的中断矢量相冲突 以下的示例代码显示了如何在 MSP430F5438A 上实现此操作 : CCS v5.x (compile option: --code_model==small): 文件 :MSP430F5438A RAM INT VECT CCS.zip IAR: 文件 :MSP430F5438A RAM INT VECT IAR.zip

28 43. 如何用 MSP430 器件生成随机数? 大多数 MSP430 器件在交付使用时具有片上低功率 VLO 时钟, 此时钟的额定值通常为数据表中大范围时钟频率 ( 通常从 6kHz 最小值到 14kHz 最大值 ) 有一份应用说明描述了如何根据 2xx 器件的 VLO 来生成随机数 此份应用说明的基本概念是将 VLO 用作源 ACLK, 而将 ACLK 也用作输入, 此输入作为捕捉一个自由运行定时器的 Timer_A 捕捉事件 因此, 有必要首先检查器件专用数据表来分配正确的 CCR( 捕捉比较寄存器 ), 此 CCR 能够将 ACLK 用作输入事件 ( 输入信号被内部连接至 ACLK) 以下 Timer_A 信号连接表引用自 MSP430F51xx 器件数据表 : 如上所示, 对于 MSP430F51xx 器件,CCR1 寄存器被用来生成随机数 此应用说明也描述了某些将随机性添加到代码中的技巧 下面是生成 MSP430F51xx 器件随机数的示例代码 : int TI_getRandomIntegerFromVLO(void) { unsigned int i; int result = 0; // setup Timer_A TA0CCTL1 = CM_1 + CCIS_1 + CAP; TA0CTL = TASSEL SMCLK + MC CONTINOUS;

29 for(i=0 ; i<16 ; i++) { // shift left result result <<= 1; // wait until Capture flag is set while(!(ta0cctl1 & CCIFG)); // clear flag TA0CCTL1 &= ~CCIFG; // check LSB if(ta0ccr1 & 0x01) { result = 0x01; } } // change the divison of timer input clock TA0CTL = (TA0CTL & 0xFCFF) ((TA0CCR1 & 0x03) << 8); } return result; CCS 编译器警告 #10374-D 在 CCS v5.x 的全新 CCS 编译器中 ( 代码生成工具 /CGT), 编译器将给出这样的警告 : #10374-D 中断矢量 "USI" 没有一个中断句柄例程 如果它发现有任何中断矢量未被初始化时就会给出此警告 原因在于, 这基本上是一个初始化所有中断矢量的好做法, 所以在假中断意外出现时,MSP430 将会因为未将中断矢量初始化而无法获得复位 以下示例显示了如何创建 "Traplsr" 来删除 CCS 编译器给出的关于这个问题的警告 : #include <msp430g2231.h> int main(void) { WDTCTL = WDTPW + WDTHOLD; P1DIR = BIT0; // Stop watchdog timer // Set P1.0 to output direction

30 for(;;) { P1OUT ^= BIT0; delay_cycles( ); } } // Toggle P1.0 using exclusive-or // trap isr assignation - put all unused ISR vector here #pragma vector = ADC10_VECTOR, NMI_VECTOR, PORT1_VECTOR, PORT2_VECTOR, \ TIMER0_A0_VECTOR, TIMER0_A1_VECTOR, USI_VECTOR, WDT_VECTOR interrupt void TrapIsr(void) { // this is a trap ISR - check for the interrupt cause here by // checking the interrupt flags, if necessary also clear the interrupt // flag } 也请参见 # 如何在 CCS 中阻止一条警告消息? 44. 如何将一个变量放置在特定存储器位置内? 请参考以下维基网页 : 将 _ 变量 _ 放置在 _ 特定 _ 存储器 _ 位置内 _-_MSP 如何将生成 MSP430x5xx/6xx JTAG 锁定放置在代码中? 请参考以下维基网页 : 将 _ 变量 _ 放置在 _ 特定 _ 存储器 _ 位置内 _-_MSP430#Generating_JTAG_Lock

31 46. 4 引脚 SPI 在 USCI 模块上的工作模式是怎样的? 在 USCI 模块上,UCxSTE 被用作处于主控和受控模式中的 USCI 模块的 4 引脚 SPI 模式下 (UCMODEx = 01 或 10) 的激活输入引脚, 如以下表格所示 : 4 引脚 USCI SPI 主控模式 在 4 引脚主控模式下,UCxSTE 被用来避免与其他主控的冲突 当 UCxSTE 处于主控未激活模式中时 : UCxSIMO 和 UCxCLK 被设置为输入并不再驱动总线 出错位 UCFE 置位表明出现一个将由用户处理的通信错误 内部状态机被复位并且移位操作取消 4 引脚 USCI SPI 受控模式 在 4 引脚受控模式中,UCxSTE 被受控用于使能发送和接收操作并由 SPI 主机提供 当 UCxSTE 处于受控未激活状态时 : UCxSIMO 上任何正在进行中的接收操作被暂停 UCxSOMI 被设置为输入方向 移位操作被暂停直到 UCxSTE 线路转换进入受控传输激活状态 47. 如何使用 USCI 模块在传输完成时生成中断? 在 USCI 模块中, 发射中断生成在 UCxTXBUF 数据字节被复制 / 被移入 TITX 移位寄存器时 然而, 有时需要在整个数据字节已经从 TITX 移位寄存器中移出时检测 / 生成中断 有几种可能的方法来实现此操作 : 在发送中断发生时运行一个定时器, 以便根据计算出的 发送整个数据字节所需的时间来生成定时器中断 为了将已发送数据字节回送至接收器, 将 UCSxSTAY 寄存器内的 UCLISTEN 位置位 通过激活接收中断, 可使用接收中断来仿真中断 更多详细信息可在以下 E2E 讨论中找到

32 48. 有没有推荐用于 MSP430 器件的晶体振荡器列表? TI 并未给出推荐在 MSP430 器件中使用的晶体振荡器列表 通常情况下, 建议用户根据晶振制造商的额定值, 通过使用内部 XCAP 值或外部负载电容器来设置晶体振荡器的负载电容器 我们还建议客户按照应用说明 tidoc:slaa322 章节 4 来全面测试晶振 代码参考 FatFS: MSP-EXP430F5529 用户体验软件, 基于 ELM-Chan 开源 FatFs 嵌入式文件系统模块 加密算法 : tidoc:slaa547, tidoc:397 (AES128) 49. 用 MSP430 设计定制板的检查清单 请确保引脚分配连接符合 TI 提供的开发套件的要求 TI 几乎为每一个 MSP430 器件提供开发套件 ( 但是并未为每个封装类型提供开发套件 ) MSP430 器件的电路原理图可在 tidoc:slau278 中找到 请确保 JTAG 引脚分配符合建议的引脚分配 : JTAG_(MSP430). 其他建议的 MSP430 微控制器硬件设计指南参考 MSP430 系统级静电放电 (ESD) 注意事项 : tidoc:slaa530 MSP430 外部振荡器 : tidoc:slaa322 MSP430 电容式触摸硬件设计指南 : tidoc:slaa576 MSP430 USB 设计指南 : tidoc:slaa457 请按照这里描述的那样考虑电源与 CPU 频率之间的关系 50. 从 SRAM 运行代码 为了降低功耗, 有时从 SRAM 中执行 codex 会有一定作用 对于这一点, 有几个示例 : 针对 MSP430F543x 的闪存写入示例或者参考这份短篇指南 51. 设置 USCI 模块 UART 模式波特率 使用 USCI_UART_Baud_Rate_Gen_Mode_Selection#USCI_UART_Calculator USCI UART 波特率计算器来计算或者使用这个链接中的 usci_settings.h 来计算

33 52. 将 MSP430F5xx/6xx BSL 闪存存储器区域用于应用数据 / 代码 在 MSP430F5xx/6xx 器件上, 如果 BSL 不被应用使用的话, 可使用 BSL 闪存存储器区域 针对这一用途, 请参考以下指南 技术 FAQ 53. 如何将一个 I/O 引脚设置为外设引脚? 在每个器件专用数据表中, 有一个描述 I/O 引脚电路原理图, 以及将一个引脚设定为正常 GPIO 引脚还是外设引脚的寄存器设置的特殊章节 ( 此章节通常在文档末尾电气特征参数之后, 名为 应用信息 或 输入 / 输出电路原理图 ) 54. 最大 GPIO 源电流 / 灌电流 MSP430 数据表通常不指定一个 GPIO 引脚能够吸收 / 灌入的最大电流 然而, 应该考虑两个限制因素 : (1) 由于 MSP430 具有 CMOS GPIO, 高电平输出电压将 VOH 将在引脚吸收电流时减少, 而低电平输出电压 VOL 在引脚灌入电流时增加 比如说, 以下值是取自 MSP430F22x2 和 MSP430F22x4 数据表中的值 : 如上所见, 举例来说, 如果一个输出试图在 Vcc = 2.2V 或 3V 时吸收 1.5mA 的电流, 它应该等到 VOH 下降到 Vcc V 的最小值 当在同一 Vcc 电平上吸收 6mA 电流时, 此输入甚至可以下降至 Vcc - 0.6V 的最小值 当输出端口试图灌入电流时, 它应该等待上面指定的低电平输出电压 VOL 的一个增加值, 例如, 当在 2.2V 或 3V 电压上灌入 1.5mA 电流时, 最大值 Vss V 如脚注中所描述的那样, 还需注意的一点是, 上面的 VOL 和 VOH 额定值只在最大总源 / 灌电流未超过脚注中的额定值时才有效

34 (2) 当试图灌入大量电流时, 增加的功率耗散带来的主要影响是结温的增加 例如, 通过使用 ROT 公式计算 : 温度 ( 结温 )= theta (j-a) * P + 温度 ( 环境温度 ) 例如, 如果输出引脚在 50 摄氏度时有 5mA 灌电流 : 功率耗散 P = 压降 * I = 3V * 35mA = 105mW 对于封装网站中的 MSP430F1232(28 DW 封装 ), 它指定 Theta (j-a) = ~50 C/W 使用一个 200mW 的最大功率耗散 ( 来自 CPU + 模块 + GPIO), 我们得到 ; 温度 ( 结温 )= 50 * 0.2 W + 50C = ~60C. 这仍然在器件的最大绝对额定值, 即 85C, 范围内 谨记, 这些计算只是用于理解灌入过多电流所造成的影响的一般性指导原则 用户有责任检查应用硬件, 并防止在应用硬件上出现短路 55. 器件处于复位状态时,GPIO 处于什么状态? 在器件被保持在复位中时,GPIO 处于其缺省状态, 也就是说, 输入 / 高阻抗和上拉电阻器悬空也未在这个状态中被启用 56. 最大 CPU 频率 最大 CPU 频率通常在器件专用数据表中 建议运行条件 下被定义, 但是总的来说, 对于 1xx 器件为 8MHz, 2xx 器件为 16MHz,5xx 器件为 8/16MHz, 而 5xx/6xx 器件为 25MHz 这一主题下, 另外一个常被问到的问题就是为什么数据表将 DCO / 外部时钟 (XT1/XT2) 频率指定为高于最大 CPU 频率 时钟系统也许可以接受来自 XT1/XT2 的较高外部时钟信号 ( 例如, 对于 MSP430F5438A, 最大 XT1/XT2 额定值为 32MHz), 甚至可以将 DCO 频率运行为更高的频率 ( 例如, 对于 MSP430F5438A, 高达 135MHz), 这些都是事实, 但是在提供 ACLK,MCLK 或 SMCLK 时钟信号之前, 应该使用 DIVA,DIVM 或 DIVS 预分频器将时钟频率按比例减小 57. 为什么 5xx/6xx UCS DCO 时钟运行频率可高于 25MHz? 简言之, 主要是为了减少由 FLL 完成的 DCO 调制所导致的抖动 UCS 模块的 FLL 通过在两个频率 : fdco 和 fdco+1 之间切换来稳定 DCO 输出 这引起了一个抖动, 例如, 对于 MSP430F5438A 来说, 这个值在 2% - 12%( 请参见以下取自数据表中的技术规格 )

35 通过使 DCO 产生较高频率, 然后将此频率分频为所需的输出频率提供给 ACLK/MCLK/SMCLK, 将最大限度地减少抖动影响 例如, 当你试图在 8MHz 频率 ( 最大频率的 12%) 上运行器件时, 将 DCO 设定为频率 64MHz 的时钟源, 并进行 8 分频, 这将使输出时钟为最大值的 1.5% (12%/8) 58. 我的 MSP430 在启动时好像没有运行, 这是怎么了? 有几个常见问题会导致 MSP430 器件在启动时出现故障 ( 器件看起来根本就没有工作 ):

36 59. 在没有足够供电的情况下高频运行 CPU 如果你在较高的频率下运行 CPU, 最常见的问题是在达到最低电源电压前, 将 CPU 设定为较高的运行频率 如果 Vcc 的斜升速度相对慢于设定 CPU 频率的缺省代码, 这个问题就会出现 这些信息通常可以在数据表的 建议运行条件 章节中找到 例如, 以下图表显示了 CPU / 系统频率 (MCLK) 与 MSP430F44x 的电源电压 Vcc 之间的关系图表 在这个情况下, 在将 CPU 设置为较高频率运行前, 工作区将产生一个较小的启动延迟, 或者使用内部 ADC 来测量 Vcc, 以确保 CPU 以较高频率运行前已经达到适当的 Vcc 电平 在 5xx/6xx 器件上, 与 CPU 高频运行相关的不是电源电压, 而是 PMM( 电源管理模块 ) 的 VCORE 电平 要设定 VCORE 电平, 建议使用 MSP430Ware 的 driverlib 下方显示的是 CPU / 系统频率 (MCLK) 与 MSP430F543xA 的 VCORE 电平之间的关系图 如果 CPU 以较高频率运行, 并且电源电压在运行期间下降的话, 会产生另外一个问题 在这个情况下, 只要电源电压下降到低于 CPU 高频运行所需的最小足够电压以下, 就将器件保持在复位状态 如果没有这么做的话, 就不能保证器件工作正常, 甚至有可能导致严重损坏, 诸如闪存存储器损坏 ( 请参考 : MSP430_ 闪存 _ 最佳 _ 做法 )

37 在 1xx,2xx,4xx 器件上, 某些器件具有可用于此用途的 SYS( 电源电压监控器 ) 片上模块 对于那些不具有 SVS 模块的器件, 建议使用外部电压监控器 在所有 5xx/6xx 和 FRAM 器件上,PMM( 电源管理模块 ) 可被用来在电源电压下降到低于特定阀值时生成复位 C 启动代码期间的 WDT 触发 如果应用程序是用 C 语言编写的, 那么另外一个常见问题就是启动期间的看门狗超时 在缺省情况下, 所有 MSP430 上的看门狗定时器被设定为启动后激活 因此, 如果在应用程序刚开始时不需要 WDT, 那么有必要将其关闭 如果应用代码正在使用需要在启动期间初始化的大型变量, 这会导致看门狗定时器在启动期间已经运行, 而代码将永远不会运行 对于 CCS MSP430 编译器 和之后的编译器版本, 此解决方案是将连接器 --cinit_hold_wdt 选项打开, 在 C 语言自动初始化期间保持看门狗 : 将连接器 --cinit_hold_wdt 选项打开意味着看门狗只在 C 语言自动初始化期间被保持为未激活, 这样的话, 看门狗将在进入主程序时被激活 对于其他编译器, 针对这一问题的解决方案是使用编译器的低级 C 语言初始化函数, 此函数的调用甚至在 C 语言变量初始化之前 在 CCS 编译器中, 它被称为 "int_system_pre_init(void)", 而在 IAR 中, 此函数被称为 ini_low_level_init(void) 返回值被用来确定是否执行 C/C++ 全局数据初始化 (0 返回值绕开 C/C++ 自动初始化 ) 与这个问题相关的更多详细信息请参考 MSP430 软件编码技术应用报告,3.6 章 使用低级初始化函数 在低级 C 语言初始化函数中将看门狗保持在未激活状态意味着看门狗将在进入主程序时保持在未激活状态 对于 CCS 编译器 :

38 int _system_pre_init(void) { // stop WDT WDTCTL = WDTPW + WDTHOLD; } // Perform C/C++ global data initialization return 1; 对于 IAR 编译器 : int low_level_init(void) { // stop WDT WDTCTL = WDTPW + WDTHOLD; } // Perform data segment initialization return 1; 中断矢量的错误分配 中断矢量会在某些情况下被不恰当地 / 错误地分配 例如, 最常见的困惑在于设置 Timer_A 矢量 ( 请参见 MSP430_FAQ# 如何去分配正确的 Timer A 中断矢量.3F 如果中断矢量未被正确分配, 器件将在中断发生时复位 60. 我的应用中的闪存存储器好像被损坏了, 问题有可能出在哪里呢? 基本上,MSP430 器件上的闪存存储器损坏的最常见原因是在电源处于欠压状态中时高频运行 CPU 要获得更多详细信息, 请参考 MSP430_ 闪存 _ 最佳 _ 做法维基网页 61. 当我改变新器件修订版本时, 应用程序停止工作, 这是由什么原因造成的呢? 在大多数情况下, 当使用新的 / 不同的器件修订版本时, 应用程序停止工作的主要原因是应用程序的写入方式违反了数据表中的技术规范 数据表中定义的额定值 / 参数是为了确保所有修订版本中的全部器件可在这些范围内工作, 但是它并未排除器件在额定参数值以外运行时, 特定修订版本不工作的可能性 当之前的

39 器件出现这一问题时 ( 被写入的应用程序在额定参数以外工作 ), 这也许是应用程序不与更新的 / 不同的修订版本一同工作的真正原因 62. MSP430 如何在 LPMx.5 中保持 I/O 端口和 RTC 配置? 基本上, 进入 LPM3.5 和 LPM4.5 中将在唤醒时复位所有外设寄存器配置 然而, 为了保持 I/O 端口和 RTC 配置寄存器, 寄存器值将由相应的模块内部锁存 这些内部设置在进入 LPMx.5 时被锁定, 其原因是 PM5CTL0 寄存器中的 LOCKLPM5 位和 BACKCTL 寄存器的 LOCKBAK 位也被置位 当从 LPMx.5 中唤醒时, 在将 LOCKLPM5 和 LOCKBAK 位清零前, 应该首先配置 I/O 和 RTC 寄存器, 以避免一个中间状态, 在此状态中, 复位后的缺省寄存器设置被复制到内部寄存器模块设置中 63. 如何实现数据表中的额定功耗值? 测试参数 数据表中的所有额定值在特定条件下测得, 这些条件会影响测量结果, 诸如 : 环境温度 电源电压 对于激活模式 : 时钟源频率和代码位置 ( 闪存 / RAM) 无模拟外设激活 ( 通常情况下, 模拟外设消耗大量电流, 而数字外设流耗很低 ) 等等避免 I/O 引脚悬空 缺省情况下, 所有 I/O 引脚在复位后被设置为输入 为了避免悬空输入引脚消耗更多电流, 所有未使用的 I/O 应该被设置为输出低电平, 除非引脚被连接至外部上拉电阻器, 这一连接意味着引脚应该被设置为输出高电平 未使用引脚的连接 请确保所有其他未使用的非 I/O 引脚遵守建议的连接方式, 此连接方式可在 系列用户指南 中找到 ( 通常在讨论系统复位 中断等内容的章节中, 名称为 未使用引脚的连接 ) 64. 复位状态中的功耗 在数据表中并没有功耗 / 流耗的技术规格, 并且不建议将器件保持在最低功耗水平上 这一建议的原因是, 在复位状态中,GPIO 引脚处于缺省高阻抗悬空状态, 而此状态会导致额外的功耗 而推荐的方法是使用一个 GPIO 中断, 此中断将在 LPM4 中 ( 比如在输入的下降边沿上 ) 将器件置位, 并重新将中断配置为在信号的上升边沿唤醒器件

40 65. MSP430 内部 FLASH 的最小编程电压是多少? MSP430 的工作电压范围为 V, 但必须在不低于 2.2V 的情况下工作才能满足内部 FLASH 的最小编程电压 66. MSP430 如何校准 DCO 振荡频率? MSP430 的 FLASH 是不能以位为单位进行编程的!MSP430 的 FLASH 的擦除通常是以段为单位的, 而写入往往以字节或字为单位进行 但是 FRAM 型的 MSP430 却不同 :FRAM 可以以位为单位, 进行编程 读取或擦除操作 67. MSP430 的波特率如何计算? 直接上网 : ulator_usci_uart_baud_rate_calculator 68. MSP430 Timer_A 的中断矢量如何分配? 每个 Timer_A 具有两个中断矢量 : 一个用于 CCR0, 另外一个用于 TAIFG 和剩余的 CCRx CCS 和 IAR 的头文件中有中断矢量的格式为 TIMER(X)_A(Y)_VECTOR 其中 :x 是模块号 ( 例如, 对于 MSP430G2553 来说, 它具有两个 TImer_A 模块,TA0 和 TA1,0=TA0,1=TA1)? 是矢量号 (0 = CCR0,1 = TAIFG & 其他 CCR) 69. MSP430 的 GPIO 口在复位状态中的功耗? 在数据表中并没有功耗 / 流耗的技术规格, 只是建议将器件保持在最低功耗水平上 这一建议的原因是, 在复位状态中,GPIO 引脚处于缺省高阻抗悬空状态, 而此状态会导致额外的功耗 而推荐的方法是使用一个 GPIO 中断, 此中断将在 LPM4 中 ( 比如在输入的下降边沿上 ) 将器件置位, 并重新将中断配置为在信号的上升边沿唤醒器件 70. MSP430 如何在 LPMx.5 中保持 I/O 端口和 RTC 配置? 进入 LPM3.5 和 LPM4.5 模式, 将在唤醒时复位所有外设寄存器配置 然而, 为了保持 I/O 端口和 RTC 配置寄存器, 寄存器值将由相应的模块内部锁存 这些内部设置在进入 LPMx.5 时被锁定, 其原因是 PM5CTL0 寄存器中的 LOCKLPM5 位和 BACKCTL 寄存器的 LOCKBAK 位也被置位 当从 LPMx.5 模式中唤醒时, 在将 LOCKLPM5 和 LOCKBAK 位清零前, 应该首先配置 I/O 和 RTC 寄存器, 以避免一个中间状态, 在此状态中, 复位后的缺省寄存器设置被复制到内部寄存器模块设置中 71. MSP430 如何在 USCI 模块传输完成时生成中断? 在 USCI 模块中, 在 UCxTXBUF 数据字节被复制 / 被移入 TX 移位寄存器时, 将产生发送中断 然而, 有时需要在整个数据字节已经从 TX 移位寄存器中移出 / 检测时生成中断 有几种可能的方法来实现此操作 : 在发送中断发生时运行一个定时器, 以便根据计算出的 发送整个数据字节所需的时间来生成定时器中断

41 为了将已发送数据字节回送至接收器, 将 UCSxSTAY 寄存器内的 UCLISTEN 位置位 通过激活接 收中断, 可使用接收中断来仿真中断 72. MSP430 如何实现软件复位? 看门狗 : #define SW_RESET() WDTCTL = WDT_MRST_0_064; while(1); 具有 PMM 功能的器件上使用 BOR 和 POR: #define SW_RESET() PMMCTL0 = PMMPW + PMMSWBOR + (PMMCTL0 & 0x0003); #define SW_RESET() PMMCTL0 = PMMPW + PMMSWPOR + (PMMCTL0 & 0x0003); 73. MSP430 捕获功能和定时器的暂停应注意什么顺序? 当定时器暂停时, 顺序应是先停止捕获功能, 再停止定时器计数 捕获功能重新开始时, 顺序是先开启捕获功能, 再开始定时器计数 74. MSP430 如何改变看门狗的定时时间? MSP430 改变看门狗定时时间而不同时清除 WDTCNT 将导致不可预料的系统立即复位或中断 定时时间改变应伴随计数器清除, 并在一条指令中完成 例如 MOV #05A0Ah,&WDTCTL, 如果先后分别进行清除和定时时间选择, 则可能立即引起不可预料的系统复位或中断 ( 在正常工作时, 改变时钟源可能导致 WDTCNT 额外的计数时钟 ) 75. MSP430 中断标志 P1IFG.0 P1IFG.7 和 P2IFG.0 P2IFG.7 在中断被接受时, 是否会自动复位? MSP430 中每一组中断标志 P1IFG.0 P1IFG.7 和 P2IFG.0 P2IFG.7 只用一个中断向量, 它们都是多源中断向量 当中断被接受时, 这些标志位不会自动复位 由中断服务程序确定服务的事件, 并将相应的标志复位 任何外部中断事件必须等于或大于 1.5 倍 MCLK 的时间, 以保证该中断请求被接受并使相应的中断标志置位 76. MSP430 如何从外部晶振获取 MCLK? 在 PUC 复位信号之后, 基本时钟模块使用 DCOCLK 作为 MCLK 如果需要更高的频率可以选用 LFXT1 时钟或 XT2 时钟 ( 注 :MSP430G22x0 中不含有 LFXT1 系列振荡器,XT2 时钟部分型号没有, 具体详见所用单片机的数据手册 ) 从 DCO 切换到外部晶振的步骤如下 : (1) 使能对应的振荡器并选择合适的工作频段 ; (2) 将状态寄存器 SR 中的 OFIFG 位清零 ; (3) 延时至少 50us; (4) 检测 OFIFG 标志位是否清零 如果清零, 则可以选择对应的振荡器作为 MCLK; 否则重复步骤 (2) 步骤 (4)

42 77. MSP430 的复位信号在哪些情况下会发生? MSP430 的复位信号有两种, 分别是上电复位信号 POR 和上电清除信号 PUC 对于上电复位信号 POR, 它只在以下 3 种情况下发生 : 在芯片上时 RST/NMI 设置成复位模式, 在 RST/NMI 引脚上出现低电平复位信号 电源电压监测片上外设模块 SVS 的 POR 使能位 PORON=1 时, 并监测到低电压状态发生 对于上电清除信号 PUC, 能触发的 PUC 的事件为 : 发生上电复位信号 看门狗定时时间到 看门狗定时器的配置寄存器写入错误的安全密码 FLASH 存储器的寄存器写入错误的安全密码 CPU 从外设地址范围 0H--01FFH 取数据 78. MSP430 内部 FLASH 支持几种编程方式? MSP430 内部 FLASH 同时具备位寻址 字节寻址和字寻址, 对应这 3 种寻址方式, 具备位编程 字节编程和字编程 3 种编程方式 79. MSP430 片上集成的低频振荡器 VLOCLK 输出的振荡频率稳定性怎样? VLOCLK 是片上集成的低功耗低频振荡器, 典型的振荡频率为 12KHz VLOCLK 受工作电压和温度的影响较大, 振荡电源电压漂移约为 4%/V, 频率温度漂移为 5%/ 80. MSP430 调试接口 JTAG 和 SBW 如何与仿真器连接? 对于带有 JTAG 口的芯片,JTAG 引脚如下定义 : TCK 测试时钟输入 ; TDI 测试数据输入 ; TDO 测试数据输出 ; TMS 测试模式选择 ( 用来设置 JTAG 口处于某种特定的测试模式 ) TRST 测试复位, 输入引脚, 低电平有效 ( 此引脚可选 ) 因此, 仿真器与芯片引脚连接方法 : 仿真器上的 TDO,TDI,TMS,TCK,GND,RESET 分别连接单片机上的 TDO/TDI,TDI/TCLK,TMS,TCK,GND,RST/NMI 对于引脚数较少的单片机 ( 比如 MSP430G2553), 可用两线制下载的解决方案 SBW, 连接方法为 : 将单片机的 SBWTDIO 和 SBWTCK 分别与仿真器上的 TDO 和 TCK 引脚连接起来即可 ( 如果单片机靠仿真器供电的话, 则需要将单片机的 VCC 接仿真器的第二引脚 VCC 上 ) 81. 如何利用 MSP430 实现电容触摸按键? 电容式触摸感应的 按键 实际只是 PCB 上的一小块 覆铜焊盘, 当手指触摸到 PCB 覆铜焊盘 部分时, 手指将会影晌电容的电场, 相当于在两个电容极板间增加了一部分介质, 使电容值增大, 电容式触摸感应按键原理即通过检测这个电容值的变化达到识别有无手指按下的目的 第一种用于测量电容触摸传感器的方法就是使用振荡器 从根本上说, 通过将 MSP430 的片上比较器和电容传感器用作调优元件, 可以构建简单的弛张振荡器 传感器的任何电容变化都有对应的变化频率, 通过使用 MSP430 的内部 Timer_A 硬件可以测量该变化 第一法是测量电容触摸传感器的方法就是使用振荡器 从根本上说, 通过将 MSP430 的片上

43 比较器和电容传感器用作调优元件, 可以构建简单的弛张振荡器 传感器的任何电容变化都有对应的变化频率, 通过使用 MSP430 的内部 Timer_A 硬件可以测量该变化 82. MSP430 不同的睡眠模式有什么差异? 首先不同的模式外设关闭的程度不同, 唤醒所需的时间也不同 不同的 MSP430 系列有不同的数据和低功耗模式, 这个需要参考相应型号的 datasheet 83. MSP430 系统时钟 ACLK MCLK SMCLK 有什么区别? MSP430 基础时钟模块包含以下多种时钟输入源 LFXT1CLK: 外部晶振或时钟 1 低频时钟源低频模式 :32768Hz 高频模式 :(400KHz-16MHz) XT2CLK: 外部晶振或时钟 2 高频时钟源 (400KHz-16MHz) DCOCLK: 内部数字 RC 振荡器, 复位值 1.1MHz VLOCLK: 内部低功耗振荡器 12KHz 注 :MSP430x20xx: LFXT1 不支持 HF 模式, XT2 不支持, ROSC 不支持. (1)LFXT1CLK 低频时钟源 : 由 LFXT1 振荡器产生 ( 如图 2 所示 ) 通过软件将状态寄存器中 OSCOff 复位后,LFXT1 开始工作, 即系统采用低频工作 如果 LFXT1CLK 没有用作 SMCLK 或 MCLK 信号, 则可以用软件将 OSCOff 置位, 禁止 LFXT1 工作 (2)XT2CLK 高频时钟源 : 由 XT2 振荡器产生 它产生时钟信号 XT2CLK, 其工作特性与 LFXT1 振荡器工作在高频模式时类似 可简单地通过软件设置 XT2 振荡器是否工作, 当 XT2CLK 没有用作 SMCLK 或 MCLK 信号时, 关闭 XT2, 选择其他时钟源 (3)DCOCLK 数字控制 RC 振荡器 由集成在时钟模块中的 DCO 振荡器产生 DCO 振荡器是一个 RC 振荡器, 频率可以通过软件调节, 其控制逻辑如图 3 所示 当振荡器 LFXT1 XT2 被禁止或失效时,DCO 振荡器被自动选作 MCLK 的时钟源 因此由振荡器失效引起的系统中断请求可以得到响应, 甚至在 CPU 关闭的情况下也能得到处理 由基础时钟模块可以提供系统所需的 3 种时钟信号, 即 :ACLK MCLK SMCLK 其中辅助时钟 ACLK 是 LFXT1CLK 信号经 分频后得到的 ACLK 可由软件选作各个外围模块的时钟信号, 一般用于低速外设 ; 系统主时钟 MCLK 可由软件选择来自 LFXT1CLK XT2CLK DCOCLK 三者之一, 然后经 分频得到 MCLK 主要用于 CPU 和系统 子系统时钟 SMCLK 可由软件选择来自 LFXT1CLK 和 DCOCLK, 或者 XT2CLK 和 DCOCLK, 然后经 分频得到, 主要用于高速外设模块 84. IAR 编译环境下 MSP430 如何简单的实现精准的延时? IAR for MSP430 编译器提供了一个编译器内联的精确延时函数 ( 并非真正的函数 ) 以提供用户精确延时使用, 该函数原型是 : intrinsic void delay_cycles(unsigned long cycles); 该内部函数实现 cycles 个 CPU 周期的延时, 但对于该参数的设置, 我要陈述一下 : cycles 需要我们传递的是 CPU 运行的周期个数 delay_cycles 并不是真正的函数, 只是提供编译器内联展开, 该函数并不支持变量参数, 其参数只能是常数 所以如果需要传递变量的话, 需要将这个 函数 进行封装, 但是这样势必造成延时精度的改变 例如 :void delay_ms(unsigned int delay) { while (delay--) { delay_cycles(put_cpu_clock_speed_in_hz_divided_by_1000_here);

44 } } 如果需要实现精准的延时, 可以考虑使用定时器 这样的话, 你可以在等待的时候进入休眠模式, 进一步降低系统的功耗 85. MSP430 单片机的干扰问题? 干扰源 指产生干扰的元件 设备或信号, 用数学语言描述如下 :du/dt,di/dt 大的地方就是干扰源, 如雷电, 继电器, 可控硅, 电机, 高频时钟等都可能成为干扰源 传播路径 指干扰从干扰源传播到敏感器件的通路或媒介 典型的干扰传播路径是通过导线的传导和空间的辐射 敏感器件 指容易被干扰的对象 如 :A/D D/A 变换器, 单片机, 数字 IC, 弱信号放大器等 干扰的分类有好多种, 通常可以按照噪声产生的原因, 传导方式, 波形特性等进行不同的分类 按产生的原因 : 可分为放电噪声, 高频振荡噪声, 浪涌噪声 按传导方式 : 可分为共模噪声和串模噪声 按波形 : 可分为持续正弦波 脉冲电压 脉冲序列等 干扰源产生的干扰信号是通过一定的耦合通道才对测控系统产生作用的 因此, 有必要看看干扰源和被干扰对象之间的传递方式 干扰的耦合方式, 无非是通过导线, 空间 公共线等方式, 细分下来有以下几种 : 直接耦合 这是最直接的方式, 也是系统中存在最普遍的一种方式 比如干扰信号通过电源线侵入系统 对于这种常见的形式, 最有效的方法就是去耦电路 公共阻抗耦合 这也是常见的耦合方式, 这种形式常常发生在两个电路电流有共同通路的情况 为了防止这种耦合, 通常在电路设计上就要考虑, 使干扰源和被干扰对象没有公共阻抗 电容耦合 又长称电场耦合或静电耦合, 是由于分布电容的存在而产生的耦合 电磁感应耦合 又称磁场耦合, 是由于分布电磁感应而产生的耦合 漏电耦合 这种耦合是纯电阻性的, 在绝缘不好时就会发生 86. MSP430FRAM 的内部时钟能否在电容下供电下继续工作呢? 可以继续工作, 根据手册时钟可以在电容供电情况下继续工作 87. 当 MSP430F5969 在功耗模式下, 能否有足够的能力驱动外设? 在低功耗模式下 MSP430F5969 的串口功能将会关闭, 但不影响其内部的正常工作, 因此还可以驱动外设, 具有一定的驱动能力 88. 我到哪里可以申请到免费的 MCU 评估板? 可以关注 EEWORLD 等网站的 TI 活动, 积极参加, 即有机会获取 89. Launch pad 的板载串口转 USB, 能否单独工作? 能够作为串口单独使用, 具体接法可以参考 Launch pad 原理图

45 90. Launchpad 开发板能否用于其他 MSP430 的调试以及下载? 支持双线下载的 TI-MCU 均可以用 Launch pad 开发板下载以及调试 91. MSP430 低功耗设备功耗不够低的原因? 作为输出口上拉电阻是不是太小造成的 不用的 IO 口最好设置为输出 进入的低功耗模式选择错误 ; 92. MSP430 上电不工作是什么原因? 如果晶振起振, 电源也没完问题, 检查下是否是复位电路错误, 和 51 内核单片机不同,MSP430 是低电平复位 93. TI MSP430 FLASH 能存储用户数据吗 MSP430 的单片机内部专门留有一段 Flash 区域 (information memory), 用于存放掉电后需要永久保存的数据 利用 430 内部的 Flash 控制器, 可以完成较大容量的数据记录 用户设置参数在掉电后的保存等功能 94. 如何设计 MSP430 ADC 的驱动电路? MSP430 内部的 adc 是伪差分 SAR ADC, 输入阻抗较低 ( 数欧姆以内 ) 时, 无需设计缓冲器, 而当被测信号内阻较高 ( 数千欧姆以上 ) 时将引起较大误差, 此时应使用低失调电压 轨到轨输入 / 输出的运放做为缓冲器, 以提高测量应用的准确性, 降低非线性度 95. MSP430G2 系类单片机编写字符显示函数时, 显示堆栈太小错误怎么办? 将字符大数组前面加上 const 关键字, 让其变成常量, 既可以防止被更改, 也可以减小堆栈的使用 96. MSP430G2 要在一个端口号中使用两个 AD 采样, 怎么做? MSP430G2 中多个端口中可用 AD 采用, 但是却只有一个寄存器储存收集到的值, 所以如果一定要这样的话, 那就只能在采集完一个 AD 值后重新, 初始化 AD 寄存器, 然后再采集另一个端口的 AD 值, 不过还有一种方法, 那就是 430 可以使用轮流采集 AD 值方法, 使用的好, 也不错 97. CCS 中出现 #10099-D program will not fit into 怎么回事? 最有可能程序中堆栈占用过大, 可能定义有大型全局数组, 比如字符的显示, 解决办法 : 减小数组大小, 或者增加堆栈, 可在 CCS 软件中设置

46 98. 用 MSP430 做的最小系统版为啥不能工作? MSP430 中的复位脚与测试脚没有连接好, 悬空是不能工作的 99. MSP430 的串口发送数据发现会多次发送重复数据, 例如发送 1 和 2 结果显示 , 为什么? 原因是当数据正在发送中,UTXIFG0=1, 此时不能再发送数据, 必须等当前数据发送完毕 (UTXIFG0=0) 才能进行发送 正确的程序如下 : void senddata(uchar data_buf){while (!(IFG2&UCA0TXIFG)); UCA0TXBUF = data_buf;} 100. 可以用 G2 系列的 LaunchPad 调试其他系列的 MSP430 芯片么? 可以,G2 系列 Launchpad 板载 SBW 调试电路, 可以对支持 SBW 接口的 msp430 进行程序下载和调试仿真 将 launchpad 上的 G2 系列 430IC 拔掉 ( 或者你把 launchpad 上的 5 个跳线帽断开也行, 当然这样 launchpad 上的 RESET 键就不能用了, 推荐拔芯片的方案 ), 将 launchpad 与其他支持 SBW 的 MSP430 单片机 ( 如 MSP430F5438A) 的 VCC GND TEST RESET 脚用杜邦线连起来即可 注意, 因为 1.4 版的 G2LaunchaPad 和 1.5 版的复位电路电容值有所不同, 以上方法只适用于 1.5 版的 G2LaunchPad 101. time A 定时器输出模块中 EQUx 和 EQU0 有什么区别? 捕获 / 比较器在比较模式时设置 EQUX 信号有差别 : 当 TAR 的值大于或等于 CCR0 的中的数字时,EQUO=1 当 TAR 的值等于相应的 CCR1 或 CCR2 的值时,EQU1=1 或 EQU2=1EQUx 和 EQU0 它们是用来控制输出单元的, 软件中可以不用设置, 由硬件自动触发 综上所述,EQUX 可以理解为一个信号, 是为了描述方便加上的一个名字 102. 怎么利用 F155 实现计数脉冲功能? 用定时器做的话, 也可以将定时器设置在捕获状态下, 如上升沿捕获, 当定时器捕获到上升沿时会产生一次中断, 此时定时器会记录当前计数器的值到 CCRX, 您可以把这个值放到指定的变量里, 两次中断的记数差值就是你实际计数个数, 这样你可以根据你计数个数调整增益, 另外如果要计算时间的话只要将个数乘以定时器时钟就可以 用捕获的方式就不用设置 1mS~1S 啦 你可以把 8MHZ 当 TIMERA 时钟, 最小可以到 1/8uS, 另外由于 1MHZ 捕获信号与 8MHZ 比较接近, 如果采用两次捕获计算一个脉冲宽度精度不高, 可以多采几次, 如 100 次求得平均, 这样精度会高很多 103. MSP430 有 eeprom 么, 要保存信息怎么办? MSP430 基本型号没有 eeprom, 但是都有内部的 flash 区域, 可以擦写, 记录一些必要的参数, 防止掉电遗失

47 104. MSP430 内部 dco 的频率稳定么? 偏差多少? 常温下大概 +-3.5% 的飘逸, 做低码率的通讯或解码没有问题 105. cc1110 设计不能下载程序, 如何解决? 我经历的需要注意几点 : 检测程序下载口连线排序正确性 RESET_N 下拉 10k 芯片地是否焊接好 管脚是否焊接好 106. 使用 cc1110 开发套件中,433M 天线使用的是柱状天线怎么修改? 在实际使用中需要将天线微型化, 可以使用 pcb 天线, 在设计板子时, 将天线沿 pcb 外部边框进行设计 长度为波长的 1/4 即可 107. MSP430F149 之看门狗 (WDT) 的模块问题? 1. 看门狗定时器寄存器计数单元 WDTCNT: WDTCNT 是 16 位增计数器, 由 MSP430 系列单片机选定的时钟电路所产生的固定周期脉冲信号对计数器进行 加计数 如果计数器事先被预置的初始状态不同, 那么从开始计数到计数溢出为止所用的时间就不同 WDTCNT 不能直接通过软件存取, 必须通过看门狗定时器的控制寄存器 WDTCTL 来控制 (2) 控制寄存器 WDTCTL WDTCTL 由两部分组成 : 高八位被用作口令, 低八位是对 WDT 操作的控制指令 要领如操作 WDT 的控制指令, 出于安全原因必须先正确写入高字节看门狗指令, 口令问 5AH 如果口令写错了, 将导致系统 复位 读 WDTCTL 时, 不需要口令, 可直接读取地址 120H 中的内容, 独处数据低字节为 WDTCTL 的值, 高字 节始终未 69H. WDTCTL 除了看门狗定时器的控制委外, 还有灵位用于设置 NMI 引脚的功能 2. 看门狗定时器的操作 : 用户可以通过 WDTCTL 寄存器中的 TMSEL 和 HOLD 控制位设定 WDT 工作在看门狗模式 定时器模式和低功耗 模式 看门狗模式 在上电复位或系统复位时,WDTCNT 和 WDTCTL 两个寄存器内容被全部清除 ( 晶振为 HZ,SMCLK=1 HZ) 这些情况将导致看门狗定时器的自动运行并进入看门狗模式 因此, 用户软件 一班都要进行如下操作 : 进行看门狗定时器的初始化, 设置合适的时间 ( 通过 SSEL IS0 IS1 位来确 定 ) 周期性的对 WDTCNT 清零, 防止看门狗定时器溢出, 保证看门狗定时器的正常使用 在看门 狗模式下, 如果计时器超过了定时时间, 就会产生服 Wie 和激活系统上电清楚信号, 系统从上电复位的地 址重启动 如果系统不用看门狗功能,ing 改在系统看是处禁止看门狗功能 定时器模式 WDTCTL 的 TMSEL 位置可以选择定时器模式 这一模式产生选定时间的周期性中断 定时时间可以通过 WDTCTL 的 CNCTL 位置位来开始 改变定时时间而不同时清除 WDTCNT 将导致不可预料的系统立即复位或者中断 定时时间改变应伴随计数器清楚, 并在一条指令中完成 如果先后分别进行清楚和定时时间选择, 则 不能立即引起不可预料的系统复位或者中断 在正常工作时该变时钟源可能导致 WDTCNT 额外的计数时 钟 低功耗模式 当系统不需要 WDT 做看门狗和定时器时, 可关闭 WDDT 以减小功耗 控制位 HOLD=1 时 关闭 WDT, 这时看门狗停止工作 3. 看门狗定时器的中断控制功能 : 看门狗定时器用到 SFR 地址的两位 : 中断标志位 WDTIFG 位于 IFG1.0 初始状态为复位 中断允许 位 WDTIE 位于 IE1.0, 初始状态为复位 WDTCTL 的控制位 NMI 和 NMIES 与中断功能相关,NMIES 位于 IE1.4,MNIIFG 位于 IFG1.4 前者的优先级低于后者, 另外, 两者的中断向量地址不同, 使用时请参见相 关芯片手册

48 108. TI Launchpad 的 SBW 接口适合仿真哪些 MSP430 芯片? TI Launchpad 的 SBW 调试器支持所有带 SBW 接口的 MSP430 器件 TI 的 MSP430 LaunchPad (MSP-EXP430G2) 的确是一款性价比极高的开发工具, 仅售 4.3 美元, 还免运费, 非常适合学生朋友们 109. MSP430 SPI 或 UART 的速度? 在 SPI 主模式下, 通信速率可以达到 4Mbps, 而在 UART 模式下, 速率也可达到 2Mbps USART 可进行配置, 以便同时支持同步 (SPI) 与异步 (UART) 操作, 并且可从几个内部及外部时钟源 ( 与 CPU 时钟无关 ) 中进行选择 在 SPI 主模式下,USART 的运行速率可达到应用时钟的 1/2 例如, 如果使用 8MHz 时钟, 则 SPI 主模式的传输速率可达到 4Mbps 在 UART 模式下, 实现可靠通信至少要求每位 3 或 4 个时钟 例如,8MHz 时钟除以 4 可以支持高达 2Mbps 的速率 MSP430xxxx 用户指南中提供了有关 USART 功能的完整说明, 其网址是 : 获得 此外, 还可访问 MSP430 网站, 以查找可提供现成引导加载程序工具或解决方案的第三方公司 110. 在何处可以找到 BSDL 文件来构建 JTAG 链? 所有 MSP430 均具有仅用于程序开发与快闪编程的 JTAG 接口 但这个 JTAG 接口并不完全与 IEEE 兼容 例如, 任何 MSP430 均没有边界扫描单元 (Boundary Scan Cell) 我们仅支持所需的命令 BYPASS, 但不支持其它所需的命令 :EXTEST 与 SAMPLE/PRELOAD 111. 在 MSP430 引导加载程序 (BSL) 通信中使用十六进制 80? 十六进制 80 在每次传输之前均作为同步字符进行发送 该器件通过十六进制 90 进行确认 然后再发送数据帧 每个帧均以报头字节 = 十六进制 80 开头 其它字节的帧则紧跟在十六进制 80 报头的后面 MSP430 website 上的 MSP430 引导加载程序的功能 应用手册 SLAA089 中定义了 BSL 数据帧的正确格式 对随 引导加载程序在 MSP430 w/flash 中的应用 - 硬件与软件建议 应用手册 SLAA096 一起提供的代码进行仔细检查后会发现, 这是最佳的技术 该应用手册中的软件与硬件均经过测试, 证明其可以正常工作 112. MSP430 ADC12 模块的速度是多少? ADC12 的转换速率是转换所需的 ADC12CLK 以及时钟的一项功能 ADC12CLK 的近似最小值与最大值分别为 500kHz 及 6.5MHZ 速度最快的整个转换过程可以在 17 个周期内完成 (13 个周期进行转换,4 个周期进行采样及保持 ) 6.5MHz/17 = 382ksps ADC12 的运行速率不能低于最小值的 ADC12CLK, 但在软件的控制下, 采样门可以无限制保持打开状态 如欲了解有关采样与转换时间规范的更多详情, 敬请参阅数据表 113. MSP430 I/O 引脚的汲极电流与源极电流的问题? MSP430 未指定来自 I/O 引脚的最大绝对电流 如欲了解 Voh 与 Vol 的规范, 敬请参阅数据表 其中显示了每个 I/O 引脚均可提供几毫安的电流, 但输出电压将随着电流的增大而发生变化 这些规格的附注通常提供了要维持特定电压, 所有组合的输出提供的最大总电流 MSP430 I/O 不适于驱动高电流的 20mA LED

49 114. MSP430 I/O 引脚的电流 MSP430 没有明确规定 I/O 引脚的最大输入输出电流 其实每个 I/O 引脚均可提供几毫安的电流, 但输出电压将随着电流的增大而发生变化 这些规格的附注通常提供了要维持特定电压, 所有组合的输出提供的最大总电流 除非特殊说明,MSP430 I/O 不适于驱动高电流的 20mA LED 115. JTAG 与 I/O 功能之间的 MSP430 引脚复用? 四个引脚 P1.7 - P1.4 在 20 与 28 引脚 MSP430F1xx 器件上均同时具有 I/O 与 JTAG 功能 这些引脚的默认功能是, 当器件通电时具有 I/O 功能 当测试引脚拉高时, 则将这些引脚选为 JTAG 当使用交互式系统内调试程序时, 这些器件的 FET 会将这些引脚处于 JTAG 模式下 如欲了解有关在使用调试程序时从 JTAG 模式发布引脚的信息, 敬请参阅 FET 工具用户指南 116. MSP430 单片机需要加密吗? 430 单片机需要加密吗?MSP430 的保密是通过加密熔丝实现的, 在下载完程序后可以用 JTAG 烧断熔丝, 熔丝一旦被烧断,JTAG 接口绝大部分功能失效, 就再也不能通过它进行编程了 此时要想读出, 烧写程序, 只能通过 BSL 通过 BSL 擦除所有 Flash 信息时不需要验证密码, 但是要进一步操作, 就得输入 32 字节密码进行验证 BSL 的协议规定这 32 字节密码为芯片 FLASH 区域的最高 32 字节, 也就是程序的 16 个中断向量, 如果您拥有这段程序的最后 32 字节, 就能通过 BSL 将芯片内部所有代码读取出来 但是 msp430 的 16 个中断向量未必每一个都用到了, 为了更好的加密性能, 建议将所有未用到的中断向量全部填充为随机数据, 这样可实现高级加密 但是, 切记任何加密手段都不是万能的, 没有破解不了的 MCU 对于 MSP430F5438A 而言, 熔丝不是硬件熔丝, 烧断 之后, 还是可以通过 BSL 恢复的, 但是一定要记得您的 密码 啊! 117. MSP430 在应用中如何降低功耗? 硬件方面 : [1] 尽可能采用低功耗的器件或电路设计 比如, 低功耗场合能不用 LED 尽可能不要用, 声响的电路也是一样 [2] 尽可能选择带有关闭功能的器件, 比较运放 R232 电路 逻辑电路等等... 在不必要的时候使其关闭 [3] 在显示方便也要选择低功耗的显示方式, 比如采用 LCD 片, 而不要用 LCD 模块 或采用 LCD 模块时将背光关掉 [4] 一些常用开关晶体管由三极管改为 MOSFET 管 [5] 有可能的话, 不要选择小阻值分压 ; 这样同样可减少功耗 按键上接电阻同样可以选择大点 对于模拟前端部分可能不态适合, 因为当用高精度 ADC 时, 电阻值越大热噪声就会越大 所以这做法不适宜用在高精度 ADC 前端 [6] 关于 MSP430 的 IO 处理, 我个人的理解是可以空着, 并设置为输入 因为设置为输入时 IO 处理高阻态,IO 的漏电流只有 50nA [7] 能不用 LDO 尽可能不要用 LDO, 因为线性电源器件会带功耗上的增加 确实没办法了可以选择 CMOS 型的 LDO 器件 或采用高效的 DC/DC 电源管理电路, 以提高效能利用 关于以上几项, MC430F44 开发板在设计都是基于这些原则上设计的, 同时兼容了通用器件的使用 也就是说两种类型的器件都可以用 软件方面 : [1] 你要了解 MSP430 的 4 种不同模式下的时钟与模块使用情况, 这样你才控制好整个设计的功耗管理

50 [2] 若不是很需要很高精度的时钟的话尽可能不要外部晶振, 尽可能使用内部的 DCO 作为 MCLK 当程序中需要在串口时, 这时可以开启所需的时钟源以得到精度的波特率, 不用时则要关闭掉时钟和串口模块 如果不是高速响应处理任务的话尽可能不要用选择外部晶体时钟作为 MCLK [3] 在进入低功耗模式前, 尽可能将 MCLK 改为 DCO 模式 因为 DCO 模式在进入功耗模式后, 在得到中断唤醒时是最速度启动工作的时钟源 这样可以大大减小在唤醒时节省能源 如果唤醒后确实需要高速度时钟源, 此时可以再转换到高速度的时钟源上使用 测量验正 : 采用精度高的电流表去测量电流值 ; 在实际中, 有些质量不太好的表会误导 采用串联高精度的电阻, 直接测量电压值 这样电压法测量有时也很有用 118. MSP430F5438 中断函数编写方法? 以 USCI0 为例, 说明该两种不同方法 1.switch-case 方法 [cpp] view plaincopy #pragma vector=usci_a0_vector interrupt void USCI_A0_ISR(void) { switch ( even_in_range(uca0iv,4)) { case 0: break; case 2: // 接收中断 // do something here break; case 4: // 发送中断 // do something here break; default: break; } } 2. 查询标志为方法 [cpp] view plaincopy #pragma vector=usci_a0_vector interrupt void USCI_A0_ISR(void) { // 接收中断 if( ( UCA0IFG & UCRXIFG )!= 0 ) { } // 发送中断 if( ( UCA0IFG & UCTXIFG )!= 0 ) { } } TI 的官方例程都是用 switch-case 方法, 而本人则更喜欢第二种 查询标志位 总之两种方法的最终效果都一样 119. MSP430F149 的 A/D 转换过程是怎样的? 关于 MSP430F149 的 A/D 转换 :( 都必须经过以下阶段 ) 设置通道 打开 ADC, 设置采样时间 使用采用定时器 设置参考电压 使能开始 采样开始 等待转换完成 把转换的值存入变量

51 120. MSP430F149 I/O 口如何控制? 所谓 IO 口控制就是控制单片机的端口输出 0 或 1, 或者读出端口的状态, 也就是输入和输出 先说输出 想让 MSP430 单片机的端口输出 0 或 1 必须做的一步就是设置对应端口的方向寄存器, 就是你必须得告诉单片机你想让那个端口作为输出端口 比如你想让 P2 端口的第 2 位 (P2.2) 作为输出端口就得这样设置 : P2DIR = BIT2; P2DIR 就是 P2 口的方向寄存器的地址 ( 可以在头文件里面查到 ),DIR 就是 direction ( 方向 ) 这条语句其实就是把 P2DIR 这个寄存器的第 2 个 Bit 位置 1 当然你完全可以这样写 :P2DIR = 0x04; 之所以用 = 而不直接用 = 是只操作第二个 Bit 位而不影响其他 Bit 位 聪明的你应该已经学会设置了吧 方向设置为输出后就可以让这个端口输出 0 或 1 了 比如你想让 P2.2 输出 0 可以这么写 : P2OUT &= ~BIT2; 输出 1 可以这么写 P2OUT = BIT2; 当让也可以直接这么写 : P2OUT &= ~(0x04); P2OUT = (0x04); 再说输入 输入和输出差不多, 首先也是得设置对应端口的方向寄存器, 就是你必须得告诉单片机你想让那个端口作为输出端入 比如你想让 P3.1 作为输入端口, 那你就可以这么设置 : P3DIR &= ~BIT1; 设置完端口方向寄存器就可以读这个端口的状态了, 不如我们将 P3.1 端口的状态付给变量啊就可以这么写 :a = P3IN&BIT1; 好了, 现在就可以基本运用 MSP430 单片机端口的输入输出功能了吧! 点亮一个 LED 灯应该不成问题了, 灯点亮了那么你也就对 MSP430 单片机入门了 另外说明一下端口操作的一些写法 P2OUT &= ~BIT2 其实就是将 P2OUT 寄存器的第二个 Bit 位清零而不影响其他 Bit 位,P2OUT = BIT2 其实就是将 P2OUT 寄存器的第二个 Bit 位置 1 而不影响其他的 Bit 位 这都是 C 语言运算的基础, 相信大家都是搞程序的, 仔细想想都会明白的 这样写的关键是只操作对应的 Bit 位而不影响其他 Bit 位, 如果直接操作 8 个 Bit 位了那也就不必这么麻烦了, 可以直接这样写 :P2OUT = 0xf MSP430 的存储器读写模式是什么? 首先要明白有两种存储器读写模式, 分别是小端模式和大端模式 小端模式 (Little-Endian): 数据的低字节存放在内存低地址中, 高字节存放在高地址中 大端模式 (Big-Endian): 数据的低字节存放在内存高地址中, 高字节存放在低地址中 MSP430 采用小端模式读写存储器, 也就是说 MSP430 的存储器读写模式是小端模式 122. MSP430F149 如何选择时钟源? MSP430 的基本时钟源有 3 个 : LFXT1CLK XT2CLK DCOCLK 其中 : LFXT1CLK: 可以用低频钟表晶体 标准晶体 陶瓷谐振器或外接时钟源工作 XT2CLK: 可以用标准晶体 陶瓷谐振器或外接 450khz~8mhz 的时钟源工作 DCOCLK: 它是内部数字控制 RC 振荡器, 可以调节 MSP430 的 3 种时钟信号是 :ACLK,MCLK,SMCLK; 其中 : ACLK( 辅助系统时钟 ): 可选时钟源 LFXT1CLK( 只能是外部时钟源 ), 且一般为 32768hz 手表晶体 ) MCLK( 主时钟 ): 可选 LFXT1CLK,XT2CLK, DCOCLK 三种时钟源 用于 CPU 和系统 SMCLK( 子时钟 ): 可选 LFXT1CLK,XT2CLK, DCOCLK 三种时钟源 用于外围器件. ACLK 和 MCLK 的区别 :ACLK 一般用于低速外设 SMCLK 主要用于高速外围模块, 上电默认是内部 800K 的 RC 振荡器, 下面给出了切换 LFXT 和 XT2 作为系统时钟的例子 : 切换为 LFXT: do { IFG1 &= ~OFIFG; for (i = 0xFF; i > 0; i--); } while ((IFG1 & OFIFG)); BCSCTL2 = SELM_3; // 选择钟表时钟切换为 XT2:

52 BCSCTL1&=~XT2OFF; // 启动 XT2 时钟 do { IFG1 &= ~OFIFG; for (i = 0xFF; i > 0; i--); } while ((IFG1 & OFIFG)); BCSCTL2 = SELM_2; BCSCTL2 = SELS;// 选择 XT2 时钟 123. MSP430 是否支持位变量? 位操作指令常见于 CISC ( 复杂指令集 ) 型处理器 ( 例如大家比较熟悉的 8051) 上, 目的是为了提高 CISC 型处理器的执行效率 与之相对的是 RISC( 精简指令集 ) 型处理器 (MSP430 当然也名列其中 ), 几乎所有的 RISC 型处理器都取消了位操作指令 MSP430 的 C 语言中是不支持位变量的,MSP430 的位操作往往由变量与掩模位之间的逻辑操作来实现 124. MSP430 的 FLASH 是否能以位为单位进行编程? 很明显,MSP430 的 FLASH 是不能以位为单位进行编程的!MSP430 的 FLASH 的擦除通常是以段为单位的, 而写入往往以字节或字为单位进行 但是 FRAM 型的 MSP430 却不同 :FRAM 可以以位为单位, 进行编程 读取或擦除操作 125. MSP430 有哪些非屏蔽中断? 非屏蔽中断不受 GIE 的控制, 具备独立的中断使能 MSP430 的非屏蔽中断主要有 3 个 : 外部引脚 NMI 的触发 FLASH 非法访问 振荡器错误 126. msp430 的低功耗模式有几种? 分别是什么? MSP430 的低功耗模式有 5 种, 分别是 LPM0,LPM1,LPM2,LPM3,LPM4, 这五种低功耗各种解释如下 : LPM0:CPU 停止工作,MCLK 时钟停止,SMCLK ACLK 时钟还在工作 LPM1:CPU 停止工作,MCLK 时钟停止, 在活动模式如果 DCO 没有作为 MCLK 和 SMCLK 时钟时, 则直流发生器被禁止, 否则就保持活动状态,SMCLK ACLK 时钟依然还在工作 LPM2:CPU 停止工作,MCLK SMCLK 时钟停止工作, 如果 DCO 没有作为 MCLK SMCLK, 自动被禁止直流发生器保持有效,ACLK 还处于工作中 LPM3:CPU 停止工作,MCLK SMCLK 时钟停止工作,DCO 时钟也停止工作, 仅 ACLK 时钟还处于工作状态 LPM4:CPU 停止工作,MCLK SMCLK 时钟停止工作,DCO 时钟也停止工作,ACLK 也停止工作 此时功耗最低 127. MSP430 单片机怎么查看时钟的校准信息? MSP430 出厂时在 Info Flash 保存了时钟的调整参数, 可以通过下面的方法很容易查看, 以防误写入后恢复 首先用 ccs 往 430 里烧写任一程序, 下载, 进入仿真界面, 按下图操作, 其中的 Value 就是 Flash 中的值, 记录下备份即可

53 128. MSP430 的复位信号有哪几种? 两种 : 上电复位信号 (POR) 上电清除信号(PUC) 能够触发 POR 和 PUC 的信号 :5 种来自看门狗,1 种来自复位管脚,1 种来自写 FLASH 键值出现错误所产生的信号 POR 信号只在 2 种情况下发生 : 微处理上电 ; RST/NMI 管脚上产生低电平时系统复位 PUC 信号产生的条件 : POR 信号产生 看门狗有效时, 看门狗定时器溢出 写看门狗定时器全键值出现错误 写 FLASH 存储器安全键值出现错误 129. MSP430 单片机中断是怎么响应的? (1) 如果 CPU 处于活动状态, 则完成当前指令 (2) 若 CPU 处于低功耗状态, 则退出低功耗状态 (3) 将下一条指令的 PC 值压入堆栈 (4) 将状态寄存器 SR 压入堆栈 (5) 若有多个中断请求, 响应最高优先级中断 (6) 单中断源的中断请求标志位自动复位, 多中断源的标志位不变, 等待软件复位 (7) 总中断允许位 SR.GIE 复位 SR 状态寄存器中的 CPUOFF OSCOFF SCG1 V N Z C 位复位 (8) 相应的中断向量值装入 PC 寄存器, 程序从此地址开始执行 130. MSP430 MCU 不使用的 I/O 口如何处理? (1) 将未使用的 I/O 切换到输出模式 (2) 将未使用的输入连接到 VCC 或 VSS (3) 通过电阻器将未使用的输入连接到 VCC 或 VSS 131. MSP430 复位后引脚是什么状态? 默认状态下, 所有 I/O 引脚在复位后均为输入状态 132. MSP430 和 51 有什么区别? MSP430 是 16 位单片机,51 是 8 位单片机 MSP430 采用 RISC 精简指令集, 单个时钟周期就可以执行一条指令, 相同晶振, 速度较 51 快 12 倍 其它片上资源也是 MSP 较丰富 总体而言,MSP430 功能强大, 速度快, 相比 51 而言, 这些是明显的优势 的片内 DCO 受环境因素抖动? 供电电压环境温度等因素都会影响 DCO 的输出, 造成输出不稳定, 影响精度

54 的 I/O 无保护吗? 如果输入信号过压过流会立即击穿, 但是 I/O 的阻抗和灵敏度很高, 捕获功能也很强大 135. MSP430 的 DCO 频率稳定性如何? DCO 模块混有两个 DCO 频率,fDCO 和 fdco+1, 用以产生介于 fdco 和 fdco+1 之间的频率 这样就可得到带有所需的平均频率的调制时钟 调制的影响表现形式就是频率的抖动 本质上来说, 这种调制将时钟能量扩散到一个宽带中, 减小了电磁干扰 (EMI) DCO 频率会随着温度和电压的变化而有所波动 请参阅器件数据手册关于 DCO 的具体说明 136. 如何使 MSP430 的基本时钟模块中的 DCO 保持稳定 通过补偿电压 温度方面的变化以及部件之间的差异, 可以对 DCO 频率进行校准并将其设定为指定的频率 通常, 低速晶振或外部信号可以通过比较一个低速参考频率周期内出现高速 DCO 时钟周期的次数来实现这一点 借助软件, 可以调整基本时钟控制寄存器, 以便将 DCO 的频率设置为较慢的晶振或信号的所需倍数 该器件的数据表详细介绍了 DCO 的工作范围 MSP430x1xx 用户指南 提供了有关基本时钟的详细信息 通过 MSP430 网站可以获得证明 DCO 设置的范例代码及应用报告 137. 怎样降低 MSP430 的功耗? 降低功耗的最重要的途径是使用 MSP430 的时钟系统来最大限度地提高 MSP430 处于低功耗模式的时间 以下是其他的一些减小功耗的原则 : 使用中断来唤醒处理器, 控制程序流向 外围模块仅当在需要时将其打开 使用低功耗的集成外围模块来取代软件驱动 例如 Timer_A 和 Timer_B 可以自动产生 PWM 波 捕获外部定时而不占用 CPU 资源 使用计算分支和快速查找表来取代标记的设置和大量的软件计算 避免频繁的子程序和函数调用以降低软件开销 对于较长的软件程序, 最好用单周期 CPU 寄存器 确保所有未使用的端口引脚是开路的, 并且设置成输出 138. MSP430 存储器的存储空间不够使用, 如何进行扩展? 任何 MSP430 器件都没有外部数据和地址线 然而, 扩展外部数据存储器可以使用 I/O 或者外部的 I2C 或串行存储器 EEPROM 可用于数据存储器扩展 如果您需要扩展外部程序存储器, 这是不允许的, 建议采用更大 ROM 的 msp430 器件 139. 金刚狼 平台的功率与能源消耗有何提升? 运行模式功耗低至 100μA/MHz 待机流耗低于 400 na(rtc 和欠压保护模式 )FRAM 每位能耗下降了 250 倍可在不到 7μs 的时间里从待机模式唤醒至运行模式

55 140. 为什么 MSP430 单片机我实测电流比官方公布的功耗要大很多? CMOS 电路的电流消耗主要发生在 CMOS 管状态翻转的时刻 设置成输入后, 处于高阻状态的输入开关会发生未知的状态翻转, 从而消耗电流 设置成输出后, 无论是上拉还是下拉, 都会消耗电流 建议普通 I/O 口设置成输出, 并且悬空 ; 或者设置成输入, 并且上拉或下拉 141. 上电复位和硬件看门狗复位有什么区别吗? 在程序里将两者分开, 请问有办法将两者分开吗? 上电复位时, 内存被清零或为任意值, 看门狗清零时并没有断电, 内存里的原有信息被保留, 同时上电复位无法通过标志位来判别, 看门狗复位才可以通过 WDTIFG 来判别 同时注意 RESET 之后 :1 判断有无复位标志, 若有, 则为 WDT 复位 ; 若无, 则为上电复位, 并且设置复位标志 手动按键复位同此理 2 保证复位标志在复位程序中不被清除 汇编好办, 那是自己在控制 RAM 清除程序,C 呢, 就要注意了 3 要注意快速断电 / 上电的问题 处理不好的话, 不但 RAM 中原先的内容有可能还存在, 而且 MCU 复位很可能会失败 如何将程序成功烧入? 烧片子的具体顺序是怎样的? 首先 option 里得选择正确的芯片型号, 还要在 Debugger 选项卡里的 driver 选择 FET_Debugger, 在按工具栏内的下载按钮 ( 快捷键 ctrl+d) 143. 请问 MSP430 仿真器和编程器有什么区别啊? 一般来讲, 仿真器是在先期调试程序时使用的, 他不会烧断单片机熔丝, 能把程序下载到单片机中, 能够单步, 跟踪, 快速调试 编程器就没有这些调试功能, 就是单纯把你做好的程序的编译后文件写到单片机中去, 就和 51 的编程器一样, 有加密熔丝烧断等功能, 是在你产品成型后, 生产时使用的 MSP430 的仿真器是使用 JT AG 接口的, 分别有四线制的 JT AG 带 TEST 脚的四线 JT AG 和两线制的 SBWJT AG 三种接口, UIF 上三种都支持, 并支持烧熔丝, UIF 就是 USB 接口的仿真 ; PIF 不能支持 SBWJT AG 接口, 不能烧熔丝, PIF 是并口的仿真器 任何一种 JT AG 接口的仿真器在烧断熔丝后都不能仿真和写入, 而 BSL 可以通过密码访问 FLASH 空间, 读出写入均可,BSL 是串口实现的, 但 BSL 不能仿真, 注意部分器件不支持 BSL, 如 F20XX 系列就不能用 BSL, 烧掉了熔丝就变板砖 MSP430 任何系列的仿真器只要接口方式一致都是兼容的, 比如 FG461X, 可以使用标准的带 TEST 的四线 JT AG, 而 F22X4 可以使用带 TEST 的四线 JT AG, 当然 F22X4 还可以选择使用 SBWJT AG, 它支持两种 JT AG 接口 如果不是 TI 标准的 430 系列用 JT AG 仿真器那就不行了, 应该是不兼容的, 不是什么 很多仿真器和编程器都不支持, 而是专用 144. 学习 MSP430 用汇编语言还是用 C 语言? 严格来讲 430 的 C 是 ANSI C 的一个子集, 与汇编的差别主要有 : C 有 if (do)while switch 等流程控制语句 C 有有限的数据格式, 如 char int float dou e 等 对 430 最有特色的 R0--R15 的使用,C 不如汇编 430 的 C 不易进行 RAM 管理 430 各版本的 C, 互相之间存在差异, 好象 C 在 430 上还不成熟 C 的优点是在 PC 或 PDA 上, 也就是在有操作系统的平台上,C 的优点才会表现出来, 但那已经不是 430 的 C 了, 而是 C++, 它有丰富的数据类型, 如结构 对象等

56 汇编的缺点, 基本上就是 C 的优点, 而汇编的优点基本上都是 C 的缺点 145. 如何快速上手 MSP430? 任何一款 MCU, 其基本原理和功能都是大同小异, 所不同的只是其外围功能模块的配置及数量 指令系统等 对于指令系统, 虽然形式上看似千差万别, 但实际上只是符号的不同, 其所代表的含义 所要完成的功能和寻址方式基本上是类似的 因此, 对于任何一款 MCU, 主要应从如下的几个方面来理解和掌握 : MCU 的特点 : 要了解一款 MCU, 首先需要知道就是其 ROM 空间 RAM 空间 IO 口数量 定时器数量和定时方式 所提供的外围功能模块 (Peripheral Circuit) 中断源 工作电压及功耗等等 了解这些 MCU Features 后, 接下来第一步就是将所选 MCU 的功能与实际项目开发的要求的功能进行对比, 明确那些资源是目前所需要的, 那些是本项目所用不到的 对于项目中需要用到的而所选 MCU 不提供的功能, 则需要认真理解 MCU 的相关资料, 以求用间接的方法来实现, 例如, 所开发的项目需要与 PC 机 COM 口进行通讯, 而所选的 MCU 不提供 UART 口, 则可以考虑用外部中断的方式来实现 ; 对于项目开发需要用到的资源, 则需要对其 Manua* 进行认真的理解和阅读, 而对于不需要的功能模块则可以忽略或浏览即可 对于 MCU 学习来讲, 应用才是关键, 也是最主要的目的 明确了 MCU 的相关功能后, 接下来就可以开始编程了 对于初学者或初次使用此款 MCU 的设计者来说, 可能会遇到很多对 MCU 的功能描述不明确的地方, 对于此类问题, 可以通过两种方法来解决, 一种是编写特别的验证程序来理解资料所述的功能 ; 另一种则可以暂时忽略, 程序设计中则按照自己目前的理解来编写, 留到调试时去修改和完善 前一种方法适用于时间较宽松的项目和初学者, 而后一种方法则适合于具有一定 MCU 开发经验的人或项目进度较紧迫的情况 ; 指令系统千万不要特别花时间去理解 指令系统只是一种逻辑描述的符号, 只有在编程时根据自己的逻辑和程序的逻辑要求来查看相关的指令即可, 而且随着编程的进行, 对指令系统也会越来越熟练, 甚至可以不自觉地记忆下来 ; MCU 的基本功能 : 对于绝大多数 MCU, 下列功能是最普遍也是最基本的, 针对不同的 MCU, 其描述的方式可能会有区别, 但本质上是基本相同的 : Timer( 定时器 ):Timer 的种类虽然比较多, 但可归纳为两大类 : 一类是固定时间间隔的 Timer, 即其定时的时间是由系统设定的, 用户程序不可控制, 系统只提供几种固定的时间间隔给用户程序进行选择, 如 32Hz,16Hz,8Hz 等, 此类 Timer 在 4 位 MCU 中比较常见, 因此可以用来实现时钟 计时等相关的功能 ; 另一类则是 Programmable Timer( 可编程定时器 ), 顾名思义, 该类 Timer 的定时时间是可以由用户的程序来控制的, 控制的方式包括 : 时钟源的选择 分频数 (Prescale) 选择及预制数的设定等, 有的 MCU 三者都同时具备, 而有的则可能是其中的一种或两种 此类 Timer 应用非常灵活, 实际的使用也千变万化, 其中最常见的一种应用就是用其实现 PWM 输出 ( 具体的应用, 后续会有特别的介绍 ) 由于时钟源可以自由选择, 因此, 此类 Timer 一般均与 EventCounter( 事件计数器 ) 合在一起 ; IO 口 : 任何 MCU 都具有一定数量的 IO 口, 没有 IO 口,MCU 就失去了与外部沟通的渠道 根据 IO 口的可配置情况, 可以分为如下几种类型 : (1) 纯输入或纯输出口 : 此类 IO 口有 MCU 硬件设计决定, 只能是输入或输出, 不可用软件来进行实时的设定 ; (2) 直接读写 IO 口 : 如 MCS-51 的 IO 口就属于此类 IO 口 当执行读 IO 口指令时, 就是输入口 ; 当执行写 IO 口指令则自动为输出口 ; (3) 程序编程设定输入输出方向的 : 此类 IO 口的输入或输出由程序根据实际的需要来进行设定, 应用比较灵活, 可以实现一些总线级的应用, 如 I2C 总线, 各种 LCD LED Driver 的控制总线等 ; (4) 对于 IO 口的使用, 重要的一点必须牢记的是 : 对于输入口, 必须有明确的电平信号, 确保不能浮空 ( 可以通过增加上拉或下拉电阻来实现 ); 而对于输出口, 其输出的状态电平必须考虑其外部的连接情况, 应保证在 Standby 或静态状态下不存在拉电流或灌电流

57 外部中断 : 外部中断也是绝大多数 MCU 所具有的基本功能, 一般用于信号的实时触发, 数据采样和状态的检测, 中断的方式由上升沿 下降沿触发和电平触发几种 外部中断一般通过输入口来实现, 若为 IO 口, 则只有设为输入时其中断功能才会开启 ; 若为输出口, 则外部中断功能将自动关闭 (ATMEL 的 ATiny 系列存在一些例外, 输出口时也能触发中断功能 ) 外部中断的应用如下: (1) 外部触发信号的检测 : 一种是基于实时性的要求, 比如可控硅的控制, 突发性信号的检测等 ; 而另一种情况则是省电的需要 ; (2) 信号频率的测量 ; 为了保证信号不被遗漏, 外部中断是最理想的选择 ; (3) 数据的解码 : 在遥控应用领域, 为了降低设计的成本, 经常需要采用软件的方式来对各种编码数据进行解码, 如 Manchester 和 PWM 编码的解码 ; (4) 按键的检测和系统的唤醒 : 对于进入 Sleep 状态的 MCU, 一般需要通过外部中断来进行唤醒, 最基本的形式则是按键, 通过按键的动作来产生电平的变化 ; 通讯接口 :MCU 所提供的通讯接口一般包括 SPI 接口,UART,I2C 接口等, 其分别描述如下 : (1) SPI 接口 : 此类接口是绝大多数 MCU 都提供的一种最基本通讯方式, 其数据传输采用同步时钟来控制, 信号包括 :SDI( 串行数据输入 ) SDO( 串行数据输出 ) SCLK( 串行时钟 ) 及 Ready 信号 ; 有些情况下则可能没有 Ready 信号 ; 此类接口可以工作在 Master 方式或 Slave 方式下, 通俗说法就是看谁提供时钟信号, 提供时钟的一方为 Master, 相反的一方则为 Slaver; (2) UART(Universal Asynchronous ReceiveTransmit): 属于最基本的一种异步传输接口, 其信号线只有 Rx 和 Tx 两条, 基本的数据格式为 :Start Bit + DataBit(7-bits/8-bits) + arity Bit(Even, Odd or None) + Stop Bit(1~2Bit) 一位数据所占的时间称为 Baud Rate( 波特率 ) 对于大多数的 MCU 来讲, 数据为的长度 数据校验方式 ( 奇校验 偶校验或无校验 ) 停止位(StopBit) 的长度及 Baud Rate 是可以通过程序编程进行灵活设定 此类接口最常用的方式就是与 PC 机的串口进行数据通讯 (3) I2C 接口 :I2C 是由 Philips 开发的一种数据传输协议, 同样采用 2 根信号来实现 :SDAT ( 串行数据输入输出 ) 和 SCLK( 串行时钟 ) 其最大的好处是可以在此总线上挂接多个设备, 通过地址来进行识别和访问 ;I2C 总线的一个最大的好处就是非常方便用软件通过 IO 口来实现, 其传输的数据速率完全由 SCLK 来控制, 可快可慢, 不像 UART 接口, 有严格的速率要求 Watchdog( 看门狗定时器 ):Watchdog 也是绝大多数 MCU 的一种基本配置 ( 一些 4 位 MCU 可能没有此功能 ), 大多数的 MCU 的 Watchdog 只能允许程序对其进行复位而不能对其关闭 ( 有的是在程序烧入时来设定的, 如 MicrochipIC 系列 MCU), 而有的 MCU 则是通过特定的方式来决定其是否打开, 如 Samsung 的 KS57 系列, 只要程序访问了 Watchdog 寄存器, 就自动开启且不能再被关闭 一般而言 watchdog 的复位时间是可以程序来设定的 Watchdog 的最基本的应用是为 MCU 因为意外的故障而导致死机提供了一种自我恢复的能力 MCU 程序的编写 :MCU 的程序的编写与 PC 下的程序的编写存在很大的区别, 虽然现在基于 C 的 MCU 开发工具越来越流行, 但对于一个高效的程序代码和喜欢使用汇编的设计者来讲, 汇编语言仍然是最简洁 最有效的编程语言 对于 MCU 的程序编写, 其基本的框架可以说是大体一致的, 一般分为初始化部分 ( 这是 MCU 程序设计与 PC 最大的不同 ), 主程序循环体和中断处理程序三大部分 ( 见图 1 a 和 b), 其分别说明如下 : (1) 初始化 : 对于所有的 MCU 程序的设计来讲, 出世化是最基本也是最重要的一步, 一般包括如下内容 : (2) 屏蔽所有中断并初始化堆栈指针 : 初始化部分一般不希望有任何中断发生 ; (3) 清除系统的 RAM 区域和显示 Memory: 虽然有时可能没有完全的必要, 但从可靠性及一致性的角度出发, 特别是对于防止意外的错误, 还是建议养成良好的编程习惯 ; (4) IO 口的初始化 : 根据项目的应用的要求, 设定相关 IO 口的输入输出方式, 对与输入口, 需要设定其上拉或下拉电阻 ; 对于输出口, 则必须设定其出世的电平输出, 以防出现不必要的错误 ; (5) 中断的设置 : 对于所有项目需要用到的中断源, 应该给予开启并设定中断的触发条件, 而对于不使用的多余的中断, 则必须给予关闭 ;

58 (6) 其他功能模块的初始化 : 对于所有需要用到的 MCU 的外围功能模块, 必须按项目的应用的要求进行相应的设置, 如 UART 的通讯, 需要设定 Baud Rate, 数据长度, 校验方式和 Stop Bit 的长度等, 而对于 Programmer Timer, 则必须设置其时钟源, 分频数及 Reload Data 等 ; (7) 参数的出世化 : 完成了 MCU 的硬件和资源的出世化后, 接下来就是对程序中使用到的一些变量和数据的初始化设置, 这一部分的初始化需要根据具体的项目及程序的总体安排来设计 对于一些用 EEPROM 来保存项目预制数的应用来讲, 建议在初始化时将相关的数据拷贝到 MCU 的 RAM, 以提高程序对数据的访问速度, 同时降低系统的功耗 ( 原则上, 访问外部 EEPROM 都会增加电源的功耗 ) 主程序循环体 : 大多数 MCU 是属于长时间不间断运行的, 因此其主程序体基本上都是以循环的方式来设计, 对于存在多种工作模式的应用来讲, 则可能存在多个循环体, 相互之间通过状态标志来进行转换 对于主程序体, 一般情况下主要安排如下的模块 : (1) 计算程序 : 计算程序一般比较耗时, 因此坚决反对放在任何中断中处理, 特别是乘除法运算 ; (2) 实时性要求不高或没有实时性要求的处理程序 ; (3) 显示传输程序 : 主要针对存在外部 LED LCD Driver 的应用 ; 中断处理程序 : 中断程序主要用于处理实时性要求较高的任务和事件, 如, 外部突发性信号的检测, 按键的检测和处理, 定时计数,LED 显示扫描等 一般情况下, 中断程序应尽可能保证代码的简洁和短小, 对于不需要实时去处理的功能, 可以在中断中设置触发的标志, 然后由主程序来执行具体的事务 这一点非常重要, 特别是对于低功耗 低速的 MCU 来讲, 必须保证所有中断的及时响应 对于不同任务体的安排, 不同的 MCU 其处理的方法也有所不同 例如, 对于低速 低功耗的 MCU (Fosc=32768Hz) 应用, 考虑到此类项目均为手持式设备和采用普通的 LCD 显示, 对按键的反应和显示的反应要求实时性较高, 应此一般采用定时中断的方式来处理按键的动作和数据的显示 ; 而对于高速的 MCU, 如 Fosc>1MHz 的应用, 由于此时 MCU 有足够的时间来执行主程序循环体, 因此可以只在相应的中断中设置各种触发标志, 并将所有的任务放在主程序体中来执行 ; 在 MCU 的程序设计中, 还需要特别注意的一点就是 : 要防止在中断和主程序体中同时访问或设置同一个变量或数据的情况 有效的预防方法是, 将此类数据的处理安排在一个模块中, 通过判断触发标志来决定是否执行该数据的相关操作 ; 而在其他的程序体中 ( 主要是中断 ), 对需要进行该数据的处理的地方只设置触发的标志 这可以保证数据的执行是可预知和唯一的 总之, 对于 MCU 开发来讲, 必须记住一点 : 条条大路通罗马, 没有做不到的事, 关键是看方法是否正确! 再就是多做多动手和多想 146. 为何 MSP430fr5969 无法仿真及下载程序? 请安装最新的 IAR 或者 CCS 使用环境可以用 IAR EW 以上版本或者 CCSV6.0 以上版本 147. MSP430fr5969 如何使用 32K 外部晶振定时唤醒 LPM3? #include int main(void) { WDTCTL = WDTPW WDTHOLD; // Stop WDT // XT1 Setup CSCTL0_H = 0xA5; CSCTL2 = SELA_0 + SELS_3 + SELM_3; // set ACLK = XT1;MCLK = DCO CSCTL3 = DIVA_0 + DIVS_0 + DIVM_0; // set all dividers CSCTL4 = LFXTDRIVE_0; CSCTL4 &= ~LFXTOFF; do { CSCTL5 &= ~LFXTOFFG; // Clear XT1 fault flag SFRIFG1 &= ~OFIFG; }while (SFRIFG1&OFIFG); // Test oscillator fault flag

59 } TB0CCTL0 = CCIE; // TBCCR0 interrupt enabled TB0CCR0 = 50000; TB0CTL = TBSSEL_1 + MC_1; // ACLK, continuous mode bis_sr_register(lpm3_bits + GIE); // Enter LPM3 w/ interrupt // Timer B1 interrupt service routine #pragma vector = TIMER0_B0_VECTOR interrupt void Timer0_B0_ISR(void) { P1OUT ^= BIT0; } 148. MSP430F2XX 系列带有 TEST 引脚的单片机在设计 JTAG 接口时需要注意些什么? 还是 MSP430 系列单片机的问题,2XX(msp430G2553) 系列有 TEST 引脚, 以前用的 1XX 系列没有, 而这个 TEST 引脚要接入 JTAG 口的第 8 脚, 否则将不能下载仿真 此外,MSP430 系列管脚比较少的片子 ( 一般 28 脚以及以下 ) 管脚复用的比较多, 因此为了节约管脚,TI 推出了 SBW 两线制仿真, 而编译 430 系列单片机常用的开发环境 IAR 其默认的方式即为 SBW 方式, 因此需要在选项中将 SBW 方式改为 JTAG 方式, 方能正常使用 亦可在设计板子的时候设计为 SBW 方式, 这样选择 SBW 方式即可下载仿真 在 Debug protocol 中选择相应的下载方式, 默认为 SBW 方式 149. MSP430fr5969 金刚狼系列功耗如何? TI 公司最新一代 MSP430FRXX 系列 MCU 采用了 FRAM 作为代码和数据存储器, 替代传统 MCU FLASH+SRAM 的结构, 并且其 FRAM 带有分区管理和 ECC 校验功能, 增强存储器可靠性,FRAM 运行时的低功耗特性, 将 MCU 的功耗降低至 100uA/MHz 除了 FRAM 外与 SCI/IIC/SPI/GPIO/ADC/CMP/TIMER 等普通外设外, 其还增加了 AES 硬件加解密模块,32 位硬件乘法器等, 其余性能指标可详见官方 150. MSP430 如何启用触摸功能? MSP430FR5969 等 CPU 所有 IO 均支持触摸功能只需将 IO 置为输入, 并且取消上拉或下拉即可 151. MSP430FR5969 金钢狼开发环境都有什么需求? MSP430FR5969 金钢狼可以用 IAR 或者 CCSIAR EW 以上版本 CCSV6.0 以上版本 152. 为什么在调试 MSP430LaunchPad 时, 程序在运行, 可是板子却没有反应? 默认建立工程时, 已经设置了调试模式是软件仿真 如果想要程序在板上调试运行, 需要设置工程的选项, 在调试选项中, 选择 FET 调试器, 再次运行程序调试, 即可在板子上运行了

60 153. MSP430FR5969 管脚功能选择的对应值, 到哪里能找到?(UserGuide 里面没有具体说明 ) 以下两个 URL 分别是硬件手册和用户手册 问题相关的设定值, 可以在硬件手册的 Input/Output Schematics 部分里查到 处理器的寄存器设定, 大部分都能在用户手册中找到 e/slau367e.pdf 154. 使用 MSP430 与设备进行 SPI 通信, 通信线上有信号, 速率也在接受范围, 为什么设备不能正常工作? SPI 通信有几种模式, 在 MSP430 中, 通常用 CKPH 和 CKPL 来设置 CKPL 的设置值表示时钟线在空闲时是低电平 (CKPL=0) 还是高电平 (CKPL=1);CKPH 的值表示发送数据是利用时钟开始沿 (CKPH=0) 还是结束沿 (CKPH=1), 另一个沿用于接收数据 请根据设备的要求来设定这两个值 155. 我按照 MSP430 头文件的内容设置看门狗为 WDT_MRST_32, 为什么不到 32ms 就 Reset 了? 看门狗所使用的时钟的频率也会影响其计数的速度, 头文件中的 WDT_MRST_32 是指使用 1MHz 的 fsmclk, 如果你设定了 fsmclk, 那么看门狗的时间也会受到影响 156. MSP430 单片机设置 PWM, 可是管脚上没有输出? 管脚的功能是需要选择的, 请检查是否没有将相关管脚的功能选择成 PWM 输出 : 选择寄存器通常被命名为 PxSEL 或者 PxSELx 157. 自己写的 MSP430 的工程, 代码明明没有问题, 为什么程序一运行到某处就执行不下去了? 没有问题的程序, 一般是不会出现执行不下去的情况的 一旦发现这样的情况, 就优先检查是否看门狗设置不正确 默认 MSP430 的看门狗是启动状态, 请检查是否没有喂狗, 或者喂狗间隔时间太长 158. MSP430G2 系列单片机 RAM 较小, 在做一些显示开发的时候会出现内存不够用的情况, 有什么办法可解决? 这一类显示开发, 可能会涉及到许多固定不用的数据, 比如图片 字体数据等, 可将这些数据定义到代码段, 即给变量加上 const 关键字, 可将数据存放在 flash 中了 159. MSP430G2 系列单片机 IO 有上下拉电阻吗, 大概是多大? IO 口有上下拉电阻, 在数据手册里有说明, 此阻值是 33K 欧左右

61 160. MSP430G2 系列单片机 SPI 的速度最高可到达多少? SPI 通信属于同步通信方式, 原理上是同步时钟越高速度就能达到越快, 但是限于单片机的处理速度,G2 系列 SPI 速度最高达 4Mbps 161. 使用 TI 官方最新的 ccs6.0 开发, 新建工程时为何找不到创建 Grace 工程选项? CCS6.0 中加入了 APP Center 应用中心, 需要在这里面添加 Grace 工具组件后才能使用 162. MSP430F147 的 AVss 和 DVss 引脚直连注意事项有哪些? 公司的一款仪表使用的是 MSP430F147, 对于 AVss 和 DVss 引脚一直都是直连 ( 原理图见下图左边 ), 其中 PCB 文件如下图中间图, 并且 MCU 板是外发贴片 某个批次贴片完组装发现, 仪表显示会一闪一闪, 在排除了驱动问题后, 通过放大镜检查发现,MCU 的 AVss 和 DVss 引脚之间被割掉了, 从而造成 DVss 引脚悬空 究其原因, 原来是 PCB 贴片厂家检查的时候以为 AVss 和 DVss 引脚之间是连焊, 从而割开造成的 通过此事, 公司所有的旧的 PCB 都进行升级, 特别是对于管脚多且小的器件的 PCB, 如果有相邻两个引脚直连, 尽量拉出线来互联, 从而可以避免次此问题发生 163. 在 MSP430FR5969 中看到在设置系统的时钟的时候, 需要开启 CS 控制寄存器, 配置好了还需要重新锁上这时为什么? 以往的 430 怎么不需要呢? 在设置系统的时钟的时候需要用 :CSCTL0_H = CSKEY >> 8; 这句来解锁 CS 控制寄存器, 在配置完后需要用 :CSCTL0_H = 0; 这句重新锁上, 设置为了防止这些寄存器被误修改, 在 MSP430FR5969 中系统中使用的存储器是 FRAM, 这样做能增加系统的安全性 164. PJ 端口的 BIT4 和 BIT5 可以接外部的低频晶振, 这两个管脚可以作为普通的 GPIO 使用吗? 可以的, 但是一旦设置了 PJSEL0 = BIT4 BIT5; 说明这两个管脚已经作为外部低频晶振的功能了, 这时就不能作为普通的 GPIO, 如果没有设置的话就是可以的 165. PM5CTL0 &= ~LOCKLPM5; 这句在以往的 430 的开发中都没有遇到过, 在 MSP430RF5969 中确有这一条, 这条语句有什么作用? 这句的作用是 : 关闭上电端口默认输出高阻抗的功能, 使能上电保持起始设置 在 MSP430FR5969 中默认上电的情况下, 端口是输出高阻抗的 166. 默认的情况下 MSP430 的主时钟是多少? 在没有进行设置的情况下,MCLK 的时钟来源是芯片内部的 DCO, 而且 MCLK=1mhz

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

untitled

untitled MSP430F22X4 :1.8V-3.6V -:1MHz 2.2V 250 ua -:0.7 ua -(RAM ):0.1uA 1us 16, 65ns -16MHz ±1% - - 32kHz - 16M - - - 3 / 16 Timer_A 3 / 16 Timer_B 10 A/D 200ksps (USCI) - UART LIN -IrDA - SPI -I2C Bootstrap

More information

untitled

untitled 0571-89908088 89908091 www.lierda.com MSP430X11X2,MSP430X12X2 :1.8V-3.6V -:1MHz 2.2V 200 ua -:0.7 ua -(RAM ):0.1uA 6us 16, 125us -DCO -DCO - 32kHz - 16MHz - - 3 / 16 Timer_A 200ksps 10 A/D,,,. (USART0)(MSP430X12X2),

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

Microsoft Word - MSP_430学习笔记.doc

Microsoft Word - MSP_430学习笔记.doc MSP430 学习笔记 (1) 这只是我在学习 TI 公司生产的 16 位超的功耗单片机 MSP430 的随笔, 希望能对其他朋友有所借鉴, 不对之处还请多指教 下面, 开始 430 之旅 讲解 430 的书现在也有很多了, 不过大多数都是详细说明底层硬件结构的, 看了不免有些空洞和枯燥, 我认为了解一个 MCU 的操作首先要对其基础特性有所了解, 然后再仔细研究各模块的功能 1. 首先你要知道 msp430

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - µÚ4ÕÂ Basic clock+.doc

Microsoft Word - µÚ4ÕÂ Basic clock+.doc MSP430F2 系列 16 位超低功耗单片机模块原理第 4 章 Basic Clock + 基础时钟模块 + 版本 : 1.4 日期 : 2007.6. 原文 : TI MSP430x2xxfamily.pdf 翻译 : 张超哈尔滨理工大学编辑 : DC 微控技术论坛版主 注 : 以下文章是翻译 TI MSP430x2xxfamily.pdf 文件中的部分内容 由于我们翻译水平有限, 有整理过程中难免有所不足或错误

More information

(3)ADC12Ó¦Ó÷¶Àý

(3)ADC12Ó¦Ó÷¶Àý MSP430 单片机入门应用系列例程 V1.0 (3)ADC12 应用范例策划 : 微控设计网 DC 例 3.1 ADC12- 使用外部参考电压 简述 : 使用单通进行 ADC 转换, 电压参考源来自外部 ADC12 的 Vr+=VeREF+,Vr-=Avss; Vr+ Vr- 是 ADC12 模块的最大值和最小值的参考电压源 当输入模拟电压信号等于或高于 Vr+ 时,ADC12 转换满幅输出, 此时输出值为

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

MSP430

MSP430 微控设计网 http://www.microcontrol.cn 微控技术论坛 http://bbs.microcontrol.cn 主题 : 基于模拟前端信号处理与控制技术的专业论坛, 网站. 基于模拟前端信号处理与控制技术的专业论坛 网站. MSP430F20xx 小巧 快速 灵活 Vincent Chan 德州仪器亚洲 MSP430 市场经理 vince-chan@ti.com 2005 Texas

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案

议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案 采用 MSP430 LaunchPad 启动开发工作 议程 介绍 Value Line 系列 Code Composer Studio CPU 与基本时钟模块 中断与 GPIO Timer_A 与 WDT+ MSP430 低功耗设计 ADC10 和 Comparator_A+ 串行通信模块 Grace 电容式触摸按键解决方案 MSP430 系列 MCU 产品 MSP430 MCU 介绍 超低功耗 业内功耗最低的

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

MSP430 Launchpad 指导书

MSP430 Launchpad 指导书 MSP430 Launchpad 指导书 徐珺 2013.12 Contents 第一部分第一个工程... 3 第二部分中断和计时器... 10 第三部分 UART... 16 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 在这一部分中, 我们将介绍 CCS 集成开发环境的一些基本 使用方法, 并试着编写一个简单的小程序, 实现按键打开 LED TI 的 Launchpad 板本身是完整的

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E

static unsigned int n250ms=o; n250ms++; if(n250ms==2){ // 延时 250ms 2=500ms n250ms=0; // 清零计数器 DelayTime&=~Delay500ms;// 复位标志位 WDTCTL=WDTHOLD+WDTPW; 1E MSP430C 延时程序设计 ( 为了阅读方便, 贴在下面 ) MSP430 是超低功耗 16 位单片机, 越来越受到电子工程师亲睐并得到广泛应用 C 程序直观, 可读性好, 易于移植和维护, 已被很多单片机编程人员所采用 MSP430 集成开发环境 ( 如 IAR Embedded Workbench 和 AQ430) 都集成了 C 编译器和 C 语言级调试器 C SPY 但是 C 语言难以实现精确延时,

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡

RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC 震荡电路 比较器的输入电压时随着输出电压变化而变化的, 而比较器负输入端电压是由 C1 充放电决定 通过计算可以发现, 电容电压在 1/3VCC-2/3VCC 之间反复变化 其震荡 硬件检测电路原理 : Capitiactive Touch Pad 3 种常见电容检测电路介绍 :1.RC 检测, 基本趋于淘汰, 灵敏度低,2.RO 外部震荡, 外围需要一些电阻电容, 加大 PCB 体积,3.PinOsc with internal RO, 外部只需挂一个电容 RO 电容检测 : 其原理就是测震荡电路频率, 关键参数在电容 ----C1 的充放电,R5 和 C1 构成一阶 RC

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

作业四:

作业四: 考试试题姓名 : 班级 : 分数 : 一 : 简述题 (1)MSP430F5xx 单片机的时钟系统中有哪几个时钟, 并分别讲述下它们的作用 (7) MSP430F5xx 单片机的时钟系统有 MCLK ACLK SMCLK MCLK 为主系统时钟, 主 要为 CPU 提供时钟 ACLK 为辅助系统时钟, 主要为低速的外围模块提供时钟 SMCLK 为子系统时钟, 主要为高速外围模块时钟 各种模块时钟的选择取决于系统对处理速度

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i

目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 控制卡 GPU 卡 网卡 FC HBA 卡 TPM/TCM 模块 NVMe SSD PCle 加速卡 1-31 i 目录 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 1-1 1.1 控制卡 1-1 1.2 GPU 卡 1-5 1.3 网卡 1-8 1.4 FC HBA 卡 1-21 1.5 TPM/TCM 模块 1-29 1.6 NVMe SSD PCle 加速卡 1-31 i 1 H3C R4900 G2 服务器可选部件与操作系统兼容性列表 本手册为产品通用资料 对于定制化产品, 请用户以产品实际情况为准

More information

MSP430系统实验教程.doc

MSP430系统实验教程.doc MSP430 系列十六位超低功耗单片机 教学实验系统实验教程 赵建谢楷沈雪亮张宝梁海军杨乐林庹明光徐常志编写 西安电子科技大学测控工程与仪器系 2006 年 5 月 1 第一部分 MSP430 系列单片机系统原理 2 第一章 MSP430 单片机概述 MSP430 系列单片机是美国德州仪器 (TI)1996 年开始推向市场的一种 16 位超低功耗的混合信号处理 器 (Mixed Signal Pocessor)

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4 CCS5.1 及 MSP430 应用实例研究 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 成员名单 : 姚文捷提高 0901 班 U200914972 许铖提高 0901 班 U200913784 指导老师 : 左芷蘅提高 0901 班 U200913637 汪小燕 美国德州仪器半导体技术上海 ( 有限 ) 公司 华中科技大学 Analog&MSP430

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

F²MC-8L/16LX/FR FAMILY

F²MC-8L/16LX/FR FAMILY 富士通半导体 ( 上海 ) 有限公司应用笔记 MCU-AN-500074-Z-13 F²MC-8FX 家族 8 位微型控制器 全系列 低功耗策略 应用笔记 修改记录 修改记录 版本日期作者修改记录 1.0 2009-12-07 Edison, Zhang 初稿 1.1 2009-01-08 Jacky, Zhou 增加细节描述 1.2 2009-01-12 Jacky, Zhou 增加 3.3.4

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Microsoft Word - MSP430简介.doc

Microsoft Word - MSP430简介.doc TI 提供业界超低功耗微控制器 TI MSP430 家族阵容 : MSP430F1X:MSP430 单片机较早产品, 体积小 性价比高 使用灵活 品种最多 MSP430F2X:1X 系列的精简升级版, 价格低 小型 灵活, 是业界功耗最低的单片机 MSP430F4X: 包含片内段式 LCD 驱动模块, 为流量和电量计量提供单芯片解决方案 MSP430F5X: 新款基于闪存的产品系列, 具有更强的存储功能和集成功能和前所未有的低功耗

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

没有幻灯片标题

没有幻灯片标题 第 2 章单片机结构 第 1 节单片机简介 第 2 节 MSP430 单片机结构 第 1 节单片机简介 一 单片机与嵌入式系统 二 典型单片机的基本构成 一 单片机与嵌入式系统 将 CPU 内存 I/O 接口集成一块芯片上, 构成具备基本功能的计算机, 称单片机 总线控制 CPU 时钟逻辑复位控制 CPU 系统 CPU 外围单元 ROM RAM I/O T/C UART 中断 基本功能单元 PWM

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information