Microsoft Word - 專題製作.doc

Size: px
Start display at page:

Download "Microsoft Word - 專題製作.doc"

Transcription

1 崑山科技大學 電子工程系四技部 專題研究報告 電流記憶體及其高階曲線之應用 及量測 吳保興 黃俊傑 學生 : 李韋承指導教授 : 陳朝烈老師 中華民國九十五年五月

2 目 錄 摘要...I 表目錄...II 圖目錄...II 第一章緒論...1 第二章專題實務 Current Mirrors Layouts(N 型 ) Current Mirrors Layouts(P 型 ) 乘法器 (Multiplication)...13 第三章電流鏡運用 基本電流鏡 串疊電流鏡 改良式威爾森電流鏡...20 第四章晶片測量 4.1 DEFUZZY 量測 FPAA 量測...25 第五章結論...37 參考文獻...38

3 摘 要 本專題是我們實現一個高取樣頻率及低誤差的電流記憶體 (Current Memory) 對一個電流記憶體性能好壞的基本因素為精確度 取樣速度及輸出阻抗, 對於好的電流記憶體來說, 前述三者越大越好 第一顆 ic 我們利用電流鏡方式實現一電流模式的 defuzzy 為實現濾波器和 defuzzification 的功能, 且可配合第二顆 ic FPAA 進行測量以達到 fuzzy 模式 第二顆 ic 為嘗試使用電流記憶體實現一電流模式之 FPAA, 並發展出相關的設計方法 藉由這樣的 FPAA 當作類比或混合訊號 IC 設計與驗證的雛形平台 對於晶片訊號之量測, 我們採用的策略為 I-V 與 V-I 轉換, 因此為了方便測試與驗證,IC 內加入偏壓電路和 V-I 轉換電路及重排電路, 以符合可測試性設計的精神 I

4 表目錄 表 規格與實測結果表 圖目錄 圖 電路圖架構....3 圖 N 型疊接電流鏡 (schematic)..4 圖 N 型疊接電流鏡 (layout)....4 圖 額外元件電路圖....5 圖 N 型疊接電流鏡 Corner TT 圖 N 型疊接電流鏡 Corner FF...6 圖 N 型疊接電流鏡 Corner SS...7 圖 P 型疊接電流鏡 (schematic) 圖 P 型電流鏡電晶體擺放位子 圖 P 型疊接電流鏡 (layout) 圖 額外元件電路圖...10 圖 P 型疊接電流鏡 Corner TT...11 圖 P 型疊接電流鏡 Corner FF 圖 P 型疊接電流鏡 Corner SS 圖 乘法器 (Schematic) 圖 乘法器 (layout) 圖 乘法器模擬圖 圖 基本電流鏡電路圖 圖 基本電流鏡 (layout) 圖 圖 基本電流鏡模擬圖 圖 串疊電流鏡電路圖 圖 串疊電流鏡 (layout) 圖 圖 串疊電流鏡模擬圖 圖 改良式威爾森電流鏡電路圖 圖 改良式威爾森電流鏡 (layout) 圖 圖 改良式威爾森電流鏡模擬圖 圖 defuzzy 測試環境 圖 defuzzy 晶片照相 圖 defuzzy 量測結果 圖 defuzzy post-simulation 結果 圖 IC 完整架構圖 (FPAA) 圖 五對五重排電路 (PSB)...28 II

5 圖 高階函數 LR memory cell(.) 的架構圖, 令 Lm = Rm = m 圖 電壓轉電流模擬結果..29 圖 重排電路輸入波形 圖 重排電路輸出波形 圖 加 / 減法電路 (SUB) 模擬結果.. 31 圖 取最大值電流 (MAX) 模擬結果.32 圖 取最小值電流 (MIN) 模擬結果...32 圖 除法器電路 (DIV, 以平方和開根 ) 模擬結果 圖 LR 函數高度 (LR(x)) 模擬結果 33 圖 LR memory cell(.) 模擬結果.. 33 圖 LR memory cell(.) 模擬結果.. 34 圖 A00 A01 LR Memory cell(.) 電路模擬 圖 min(a00, A01) 模擬結果. 34 圖 佈局圖 圖 ix 輸入波形...36 圖 clk 跟 vd 的輸入波形 36 圖 輸出波形與 VD 比較圖...37 III

6 緒 論 在類比積體電路的設計中, 主要分為電壓模式及電流模式 而電壓模式設計方式一直也是主流, 但近年來隨著 SoC 發展的趨勢, 而有所改觀 現在的設計主流都是朝向低電壓及低功率, 對於電壓模式的設計而言是一種挑戰 然而許多類比電路中的訊號有很多是以電流的方式來實現, 也符合了低電壓與低功率的環境, 因此也吸引越來越多的相關研究及投入 在許多類比電路中, 有許多訊號是以電流來表示, 例如 ADC 生醫訊號取樣 濾波器 感應器 (CCD sensor, temperature Sensor, ) 等等 本專題利用學長設計的 ic 來測試一個高速度取樣頻率及低誤差的電流記憶體 當電流記憶體不斷輸入電流, 而卻只要知道其中某一小段的電流值的變化, 可以利用電流記憶體來鎖住那其中某一小段的電流值加以分析, 並進行類比訊號處理 在物理上由於電流並不像電壓直接是由電荷構成, 要將電流訊號儲存必須轉換為電壓之後儲存, 再來進行處理或運算, 等要使用運算結果時再將電壓轉換為電流, 這樣轉換的過程造成的誤差較大, 而且耗費電路面積以及降低效能 為了驗證所提出之電流記憶體, 實驗並且以電流模式算術運算之直流數學函數為例, 將函數之輸入參數以電流訊號儲存, 便成為電流 1

7 模式之曲線函數記憶體 這樣的曲線函數可以作為訊號處理的重要基 本元件之一 2

8 第二章專題實務 2.1 題目 : Current Mirrors Layouts(N 型 ) 圖 電路圖架構 原理 :Vn=Vgs0+Vx=Vgs3+Vy if(w/l)3/(w/l)0=(w/l)2/(w/l)1 Vgs3=Vgs0 Vx=Vy Iout IREF 3

9 圖 N 型疊接電流鏡 (schematic) Dummy Dummy 圖 N 型疊接電流鏡 (layout) Layout 中多加了 Dummy gate, 用來減低 etching 偏差的危險, 注意電流走向盡量相同, 並且避免很長的 gate poly interconnection 可減少寄生電容 4

10 1. 外加元件使用軟體 :hspice ************************************* Iin iin 0 dc=0 sin 64u 64u 0.5Meg 200n min2 in iin vdd! vdd! pch w=15u l=400n min1 iin iin vdd! vdd! pch w=15u l=400n mout out out vdd! vdd! pch w=15u l=400n.tran 0.5n 3u.TEMP OP.save.OPTION NUMDGT=5 INGOLD=2 ARTIST=2 PSF=2 post.print i1(min2) par('i1(min2)-i1(mout)').end ****************************************** 說明 : 加入元件來模擬電流鏡真正的行為出來, 因此不是直接給理想輸入電流源, 而是一個 simple 電流鏡模擬 2. 額外元件電路圖 Vdd Vdd Iin Min1 Min2 in N 型 out Mout Error=Ids(mout)-Ids(min2) 圖 額外元件電路圖 5

11 3. 模擬圖給一組電流訊號 :sin 64u 64u 0.5Meg 200n [0,128uA] 頻率 :0.5Meg 誤差 [error]:1ua( 才有價值 ) Min2:in Mout:out error 圖 Corner TT Min2:in Mout:out error 圖 Corner FF 6

12 Min2:in Mout:out error 圖 Corner SS layout 後的 post-simulation 如 :TT,FF,SS 圖我們發現輸入與輸出誤差是很小 7

13 2.2 題目 : Current Mirrors Layouts(P 型 ) 圖 P 型疊接電流鏡 (schematic) M0 M1 M2 M3 M5 M4 M2 M3 M5 M4 M0 M1 M5 M4 M0 M1 M2 M3 圖 P 型電流鏡電晶體擺放位子 注意 : 每個電晶體 W=30u 很大, 分成 3 份 10w 在考慮對稱性 和電流走向 8

14 圖 P 型疊接電流鏡 (layout) 1. 外加元件 Hspice 模擬程式如下 : ************************************* Iin vdd! Iin dc=0 sin 64u 64u 0.5Meg 200n min1 iin iin gnd! gnd! nch w=30u l=350n min2 in iin gnd! gnd! nch w=30u l=350n mout1 out1 out1 gnd! gnd! nch w=30u l=350n mout2 out2 out2 gnd! gnd! nch w=30u l=350n.tran 0.5n 3u.TEMP OP.save.OPTION NUMDGT=5 INGOLD=2 ARTIST=2 PSF=2 post.print i1(min2) i1(mout1) i1(mout2) par('i(min2)-i1(mout1)') par('i(min2)-i1(mout2)') 9

15 .end ******************************************** 說明 : 一樣給 simple 電流鏡模擬 2. 額外元件電路圖 Vdd Iin Mout2 in P 型 Out2 Min1 Min2 Out1 Mout1 Error=Ids(min2)-Ids(mout2) Error=Ids(min2)-Ids(mout1) 圖 額外元件電路圖 10

16 3. 模擬圖 給一組電流訊號 :sin 64u 64u 0.5Meg 200n [0,128uA] 頻率 :0.5Meg 誤差 [error]:1ua( 才有價值 ) Min2:in Mout1:out1 Mout2:out2 error 圖 Corner TT Min2:in Mout1:out1 Mout2:out2 error 圖 Corner FF 11

17 Min2:in Mout1:out1 Mout2:out2 error 圖 Corner SS P 型疊接電流鏡, 上面三個圖 TT FF SS 跑出來的 post- simulation, 在 TT 模擬圖 (in) 的值和 (out) 的值它們之間的誤差非常小 Gate 之間的接點是用 Metal 來接, 且每一顆 MOS 折完後放的位置都很平均 對稱 使得電流的流向很平均的流出來, 我們設定誤差要小於 <1uA 缺點就是面積會很大 12

18 2.3 題目 : 乘法器 (Multiplication) 簡介 : 輸入為二進位數值 X 與 Y, 輸出為二進位數值 Z, 被乘數 (mulitiplicand)x=x3x2x1x0, 乘數 (multiplier)y=y3y2y1y0, 乘積 (product)z=z7z6z5z4z3z2z1z0 圖 乘法器 (Schematic) 13

19 7個 F-A 與 1個 10 個 8to1 H-A 2 進位 圖 乘法器(layout) 設計後大小:長 114u 寬 80u 驗證 DRC LVS 都過後 接著跑 PEX 轉成 HSPICE 黨給 8 組訊號看結 果是否正確 Hspice 模擬程式乘法器如下: *********************************** Va x0 0 pulse(0v 3.3v 10u 0u 0u 10u 20u) Vb x1 0 pulse(0v 3.3v 20u 0u 0u 20u 40u) Vc x2 0 pulse(0v 3.3v 40u 0u 0u 40u 80u) Vd x3 0 pulse(0v 3.3v 80u 0u 0u 80u 160u) Ve y0 0 pulse(0v 3.3v 10u 0u 0u 15u 25u) Vf y1 0 pulse(0v 3.3v 20u 0u 0u 30u 50u) Vg y2 0 pulse(0v 3.3v 40u 0u 0u 60u 100u) Vh y3 0 pulse(0v 3.3v 80u 0u 0u 120u 200u).OP.TRAN 0.1u 200u.MEAS TRAN POWER AVG POWER.OPTIONS POST.PRINT TRAN.lib 'F:\avanti\lib\mm0355v.l' TT.end 14

20 圖 模擬圖 結果 : 第一個被乘數 x3x2x1x0=0110=6 乘數 y3y2y1y0=0101=5 乘積 z7z6z5z4z3z2z1z0= =30!! 成功第二個被乘數 x3x2x1x0=1110=14 乘數 y3y2y1y0=1111=15 乘積 z7z6z5z4z3z2z1z0= =2!! 錯誤正常為 =210 檢討 : 當初在設計乘法器為了縮小空間, 所以 VDD 只打一層沒考慮到每個電晶體流過電壓, 原理就如同一個水管管子越小, 分出去之後到了末端 MOS 的電壓過小, 高位元顯示錯誤的訊號, 記取這次的經驗在設計下個電路會更加注意 15

21 第三章電流鏡運用 3.1 基本電流鏡 1. 電路圖 圖 基本電流鏡電路圖 以下我們藉由 SPICE 模擬之結果觀察當 M0 之 drain 端電壓有所變動時, 此有限輸出電阻所造成之影響 : **********************CURRENT SINK*********************.GLOBAL vdd! +vss!.subckt cm Vd RR0 vdd! net26 230k $[RP] MM1 net6 net6 vss! vss! NM W=3u L=1.4u M=1 MM0 Vd net6 vss! vss! NM W=3u L=1.4u M=1.ENDS.lib 'C:\synopsys\lib\mm0355v.l' TT X1 Vd cm V1 vd 0 dc=2 Vdd vdd! 0 3.3v Vss vss! 0 0v.option post post_ver_9007.op.dc v

22 .probe i(x1.mm0) i(x1.mm1).end 2.Layout 3. 模擬圖 圖 基本電流鏡 layout 圖 圖 基本電流鏡模擬圖 17

23 3.2 串疊電流鏡 1. 電路圖 圖 串疊電流鏡電路圖 操作原理 : 串疊電流鏡為前敘之基本電流鏡之改善, 此種架構以 cascode 方式提高輸出電阻值 而當輸出電阻值加大時, 將可以減少輸出電流受輸出級之 drain 端電壓改變之影響 首先, 我們假定 Vgs=1v, 在忽略通道調變效應的情況下,n 通道的驅動電壓為 0.43v(ex1), 當 M4 之 source 端電壓為 V+Vthn=1v,M4 之 gate 端電壓為 2(V+Vthn)=2v, 而 M4 之 drain 端最低電壓, 亦即此電流源的最小輸出電壓應為 Vds4>=Vgs4-Vthn or Vd4>= V+( V+Vthn)=1.43v 此輸出電阻比基本電流鏡輸出電阻大上許多, 也因此輸出電壓對 M4 之 drain 端的電壓變化較不敏感以下為 SPICE 模擬及結果 : ****************Cascode Current Mirror****************.GLOBAL vdd! +vss!.subckt cm3 Vd RR0 vdd net9 net12 148k$[RP] 18

24 MM4 Vd net9 net12 vss! NM W=3u L=1.4u M=1 MM3 net9 net9 net15 vss! NM W=3u L=1.4u M=1 MM2 net12 net15 vss! NM W=3u L=1.4u M=1 MM1 net15 net15 vss! NM W=3u L=1.4u M=1.ENDS.lib 'C:\synopsys\lib\mm0355v.l' TT x1 vd cm3 v1 vd 0 dc=2 vdd vdd! 0 3.3v vss vss! 0 0v.option post post_ver_9007.op.dc v probe i(x1.mm1) i(x1.mm2) i(x1.mm3) i(x1.mm4).end 2.Layout 圖 圖 疊電流鏡 layout 圖 19

25 3. 模擬圖 3.3 改良式威爾森電流鏡 1. 電路圖 圖 串疊電流鏡模擬圖 圖 改良式威爾森電流鏡電路圖 操作原理 : 威爾森電流鏡主要是用來增加輸出阻抗並提供一負回授來穩定 M4 的汲極電流 20

26 Id1 是一個參考電流, 當 V0 上升時, 會趙成 Id4 電流上升, 而 Id2 也會跟著增加, 而 Id1 是定電流, 而結果是 M1 的 drain 端點電壓減少,vgs4 的電壓跟著減少, 最後流過 M4 的電流達到穩定值 討論優缺點威爾森電流鏡主要的優缺點是輸出阻抗比傳統的電流鏡大, 其原因是因為 M3 電晶體的輸出阻抗被 M4 放大了, 要當一個良好的電流源就是要擁有一個高輸出阻抗, 此觀念可由上述公式推得 威爾森電流鏡的缺點是 M2 與 M3 的 Vds 的電壓差不同, 而導致 io 與 iref 的值不同, 而造成一威爾森電流鏡一個缺點 修正後威爾森電流鏡主要優點是改良威爾森電流鏡的缺點,io 與 iref 的差異變的很小 ***********************spice**********************.subckt bias1 A Vo MM4 Vo A net024 vss! NM W=10u L=10u M=10 MM5 A A net11 vss! NM W=10u L=10u M=10 MM3 net024 net024 vss! Vss! NM=10u L=10u M=10 MM2 net11 net24 vss! vss! NM=10u L=10u M=10.ENDS.lib 'C:\synopsys\lib\mm0355v.l' TT x1 A Vo bias vdd vdd! vss vss! 0 0 iref vdd! A 1mA Vo Vo 0 1.option post post_ver_9007.op.dc Vo 0 5 1m.probe i(x1.mm4).end 21

27 2. Layout 圖 改良式威爾森電流鏡 layout 圖 3. 模擬圖 圖 改良式威爾森電流鏡模擬圖 22

28 第 4 章晶片測量 4.1 defuzzy 量測 1. 測試方法與測試儀器 測試儀器 : 數位式電源供應器 混頻示波器 訊號產生器 高 倍數電子顯微鏡與 CCD 測試方法 : 利用 Function Generator 產生 0~1MHz 的 sin 波, 並使用電源供應器以提供 chip, 經由 V-I 轉換各個 輸入接腳的訊號, 然而輸出串聯電阻, 以混頻示波 器去量測輸出電阻兩端電壓應該為解模糊化運算 利用高倍數電子顯微鏡與 CCD 觀測裸晶上的 PAD 是否 Bonding 正確以及檢查確定沒有斷線 m,u,σ u Function Generator VI chip (Defuzzy) Res load Scope: Agilent 54622D 圖 defuzzy 測試環境 23

29 2. 測試結果 : 利用高倍數電子顯微鏡與 CCD 觀測之結果, 如圖 圖 defuzzy 晶片照相我們參考圖一的量測環境, 利用 Function Generator 產生 0~1MHz 的 sin 波, 經由 V-I 轉換分別給輸入參數 m1 m2 m3 m4 u1 u2 u3 u4 Σui, 經由示波器所量測 chip 輸出接腳 dfz 接 100k 電阻負載所實現的解模糊化運算 解模糊化運算公式如下 : cen n m i i= 1 = n i= 1 μ μ i i 我們直接輸入 3 個訊號分別給 Vu=sin(0.7v 0.1v 500khz) Vm=sin(0.8v 0.2v 500khz ) Vall_u= sin(2.1v 0.6v 500khz) 在接 9 個 200k 電阻讓它產生電流來實現的解模糊化運算所需的電流 24

30 圖 defuzzy 量測結果 圖 post-simulation 結果 4.2 FPAA 量測一些現場可規劃類比陣列 (Field Programmable Analog Array, FPAA) 文章中談到如何在類比電路世界中設計出類似數位世界中 FPGA 的積體電路, 這樣的可規劃類比陣列文獻原本就比較少, 主要原因是類比電路的形式很多種, 從輸入與輸出的形式來說, 可以是暫態或直流, 可以是電壓或電流, 或者甚至是頻率, 因此很難找出通用的陣列, 也因此難度與複雜度較數位的 FPGA 來得高 而其中大部分以電壓模式的 FPAA 為多, 也就是說可規劃的參數輸入為電壓來表示, 而電壓也較容易儲存, 以電容便可以完成電壓儲存的工作 在許多類比電路中, 有許多訊號是以電流來表示, 例如 AD/DA 生醫訊號取樣 感應器 (CCD sensor, temperature Sensor,, etc) 等等 然而, 電流模式的 FPAA 卻非常少見, 因為相對來說, 電流非常不易儲存 本專題嘗試使用電流記憶體實現一電流模式之 FPAA, 並發展出相關的設計方法 藉由這樣的 FPAA 當作類比或混合訊號 IC 設計與驗證的 25

31 雛形平台 對於晶片訊號之量測, 我們採用的策略為 I-V 與 V-I 轉換, 因此為了方便測試與驗證,IC 內加入偏壓電路和 V-I 轉換電路及重排電路, 以符合可測試性設計的精神 一 研究方法與成果 1.1 設計原理與方法此晶片中可規劃電流模式元件陣列, 乃藉由數位的方式來規劃類比元件之間彼此訊號的傳遞路徑 數位電路的部分主要為移位暫存器和重排電路, 類比電路的部份主要為電流鏡以及高階連續性函數 (high-order spline function) 電路 我們設計利用電流記憶體 sample/hold 取樣及保持的特性, 在高階連續函數電路部分, 把 Generic LR Fuzzy Cell 裡電流鏡電路換成電流記憶模式產生連續性函數, 該函數為高階曲線 (high-order spline function), 將來可用來進行高複雜度的訊號處理與算術運算, 例如影像色彩處理等 1.2 電路架構 FPAA 電路如圖所示, 主要架構包括三大部分 : 第一部份為偏壓電路和 V-I 轉換電路作為 FPAA 輸入訊號, 第二部份為 5 to 5 重排電路 (PSB), 可以用來作為類比訊號加解密之用 第三部份為 LR memory 26

32 cell(.) { 其中內部子電路包括電流記憶體 加 / 減法電路 (SUB) 除 法器電路 (DIV, 以平方和開根乘積 ) 取電流最大 (MAX) 及最小值電路 (MIN) }, 可用來進行高複雜度的訊號處理與算術運算 i1 i5 o4 o1 i3 PSB o3 vin vin vin VI VI VI out out out i in... α β m sample v1 v2 v3 x LR1 i2 i4 o5 i2 out-u2 u 1 u 2 u 3 h vin VI vin VI o2 i in o2 out-u2 α β m sample v1 v2 v3 x LR2 u 1 u 2 u 3 h 圖 IC 完整架構圖 (FPAA) 接腳說明 : sample:sample/hold 輸入訊號接腳 vin: 輸入電壓訊號, 經由 vi 電路轉換電流訊號 α m β: 電流記憶體電流輸入接腳名稱, 作為 LR 函數的 α, m, β 的輸入 x: 當掃瞄 x 時, 可以得到不對稱的三角形函數 v1 v2 v3: 電流最大值方塊 MAX 輸出接腳名稱 u1 u2 u3: 電流最小值方塊 MIN 輸出接腳名稱 h: 電流最大值方塊 MAX 的輸出 i1~i5: 為重排電路 (PSB) 的輸入 o1~o5: 為重排電路 (PSB) 的輸出 27

33 i1 DMX o1 o5 i1 i5 o4 o1 i2 DMX i3 PSB o3 i3 DMX i2 i4 o5 Shift register In Out s3 s2 s1 s3 s2 s1 s3 s2 s1 s3 s2 s1 s3 s2 s1 Bitstream o2 i4 i5 Shift reg DMX = De-Multiplexer DMX DMX 圖 五對五重排電路 (PSB) m m n α ( μ i) I s I a DFZ_SW m l m i DIV DIV FZ_SW I u I div i= 1 i l m r m r SUB SUB FZ_SW MAX MAX m j x x I u I div x n DIV DIV DFZ_SW β( μ i) i= 1 μ i ν 1 ν n. DFZ_SW μ j DFZ_SW μ j m j / v 1 v n v MAX MAX ~ I s I a max(v 1,...,v n ) n μ k k= 1 μ i m i / LR(x) LR(x) n μ k k= 1 u μ MIN MIN v μ ~ FZ_SWμ(x). 圖 高階函數 LR memory cell(.) 的架構圖, 令 L m = R m = m 1.3 模擬圖接下來我們分五步驟來模擬, 第一模擬電壓轉電流電路 第二模擬五對五重排電路 (PSB) 第三模擬單一 LR memory cell(.) 及 LR memory cell(.) 裡各個子電路 第四模擬總電路 FPAA, 模擬皆為 post-layout simulation (TT), Corners SS, FF 已經測過, 輸出電 28

34 流比 TT 分別小一些以及大一些 (±2 A 以內 ) A 電壓轉電流電路模擬: vin 從 0.6V 掃瞄到 3V, 所得到的 iout 為 2uA~80uA, 如圖四, 從模擬來看, 輸出電流值與輸入電壓值成正成比增加 iout vin 圖 電壓轉電流模擬結果 B 重排電路(PSB) 模擬 : 欲進行五對五重排電路的模擬, 輸入接腳 (in1 in2 in3 in4 in5) 給予五種不同的波形, 輸入不同波形的原因是方便我們可以從輸入波形和輸出波形的長相來做比對, 驗證我們在移位暫存器輸入的信號所得到的輸出排列路徑和所得到模擬結果是否一樣 如圖五輸入五種不同波形 (SIN 波 方波 三角波 定值 不規則型波 ) 分別給予接腳 in1 in2 in3 in4 in5 在輸入波形輸入給定後, 再提供移位暫存器十五位元的信號值, 其信號值為 SH_D{ },in1 所對應的輸出接腳為 out1 in2 所對應的輸出接腳為 out2 in3 所對應的輸出接腳為 out3 29

35 in4 所對應的輸出接腳為 out3 in5 所對應的輸出接腳為 out5, 經過輸入十五位元 ( 為 5us) 後, 再給予另十五位元的信號值, 測試再轉態後輸出路徑是否跟第二次輸入的信號值相符合, 其信號值為 SH_D{ },in1 所對應的輸出接腳為 out2 in2 所對應的輸出接腳為 out1 in3 所對應的輸出接腳為 out3 in4 所對應的輸出接腳為 out5 in5 所對應的輸出接腳為 out4, 如圖六所示 圖 重排電路輸入波形 30

36 5us 圖 重排電路輸出波形 A LR memory cell(.) 模擬 : (1) 加 / 減法電路 (SUB) max current =70μA sample rate=50mhz error = 1% m= 40μA mθx xθm X = 0μA ~ 90 μa 圖 加 / 減法電路 (SUB) 模擬結果 (2) 取最大值電流 (MAX) max current =80μA error =1 % a. input V1=40±40 µa 31

37 V2=30±30 µa V3=20±20 µa b. output h= 40±40 µa V1 V2 V3 h 圖 取最大值電流 (MAX) 模擬結果 (3) 取最小值電流 (MIN) max current =60μA error =1 % a. input u=30±30 µa v=20±20 µa b. output h=20±20 µa u v u1,u2,u3 圖 取最小值電流 (MIN) 模擬結果 (4) 除法器電路 (DIV, 以平方和開根組成 ) max current =60μA sample rate=50mhz 32

38 iu is=15 a=10μa iout 圖 除法器電路 (DIV, 以平方和開根 ) 模擬結果 (5) LR 函數高度 (LR(x)) Bias current = 10 µa 圖 LR 函數高度 (LR(x)) 模擬結果 (6) LR memory cell(.) 輸入不同電壓值分別給 V-I converter, 產生電流流入 LR memory cell, 輸入接腳 α m b 是利用 sample/hold 週期將電流值記憶住 然而 v1 v2 v3 接腳是由 V-I converter 所提供, 下.dc 指令掃 x 接腳從 0uA 到 70uA 的電流範圍, 模擬結果如下 : m=20μ A α =10μ A β =40μA β =30μA β =20μA β =10μA x=0-70μ A 圖 LR memory cell(.) 模擬結果 33

39 m=40μ A α =10μA α =20μA α =40μA α =30μA β =10μA x=0-70μ A 圖 LR memory cell(.) 模擬結果 二 FPAA 模擬 : 將圖一總架構電路圖, 先轉成 Netlist 檔進行 Hspice 模擬, 再與我們先前做的理論推導所得到的結果表示, 在和實際模擬所得到的結果做比對, 來證實是否有誤 以下的模擬為 Post-layout simulation. A 00 A 01 圖 A 00 A 01 LR Memory cell(.) 電路模擬 Min(A 00, A 01 ) 圖 min(a00, A01) 模擬結果 34

40 佈局 三 預計規格與實測結果 圖 佈局圖 規格 結果 電源供應電壓 3.3V 最大電流取樣頻率 最大輸入 / 輸出電流 系統消耗功率 30 MHz 70μA/10μA mw (Vdd=3.3V) 表 規格與實測結果表四 結論與討論在這計劃我們提出了一個模糊硬體於現場可規劃類比陣列快速雛形化演算法 這個演算法大大的降低運算的複雜度, 並且 FPAA 的架構也繼承了類比電流模式電路的優點 此模糊硬體快速雛形除了能實現模糊推論之外, 也包含了其它複雜的模糊運算功能如 : 模糊算術 35

41 運算 (fuzzy arithmetic) 可規劃邏輯連接(programmable logic connectives) 模糊推論(fuzzy inference), 而這些都可以很簡單的實現在 FPAA 上 對於 CAB 和 PSB 的設計原理 數學模型與其功能都已經完成完整的分析, 所以經由簡單的配置 CAB 與 PSB, 複雜的模糊模型即可在此 FPAA 裡實現 IX 圖 ix 輸入波形 CLK VD 圖 為 clk 跟 vd 的輸入波形 36

42 h vd 圖 為輸出波形與 VD 比較圖 上圖為量測晶片圖一左半部 (LR1) 之輸出圖形, 按照模擬, 應該在兩個 vd pulse 之間會出現一個三角形的 LR 函數波形, 然而從量測卻是每個 clock 都會出現一個 因此研判是因受到 FPGA 所產生的 clk 影響, 干擾到輸出波形的正確性 由於右半邊 LR2 輸入來自 LR1 而無法繼續量測另右半邊 LR2 的輸出及整體電路輸出之波形 第 5 章結論電流記憶體應用於類比訊號處理電路中, 不論是在 ADC 濾波器或者感應器電路中都可見到電流記憶體的蹤跡 以後在電路上設計, 朝向低電壓 低功率的需求, 並且也兼顧高輸抗阻抗與高速度的電流記憶體 我們也利用學長下線回來的 ic 進行量測或許有些部分顯示出來跟模擬的差很多, 不過也儘量的去改善 37

43 參考文獻 [1] 胡哲郕, 模糊硬體於現場可規劃類比陣列快速雛形化演算法 ", 碩士論文, 崑山科技大學,2005 [2] 曾宏明, Current Memory for High Order Spline Application, 碩士論文, 崑山科技大學,2005 [3] Balteanu, F.; Opris, I.; Kovacs, G., Current-mode fuzzy memory element, Electronics Letters, Volume: 29 Issue: 2, 21 January 1993, pp [4] Yau-Hwang Kuo; Chao-Lieh Chen, Generic LR fuzzy cells for fuzzy hardware synthesis, IEEE Transactions on Fuzzy Systems, Volume: 6 Issue: 2, May 1998, pp [5] Chrisanthopoulos, A.; Moisiadis, Y.; Tsiatouhas, Y.; Arapoyanni, A. Comparative study of different current mode sense amplifiers in submicron CMOS technology, Circuits, Devices and Systems, IEE Proceedings, Volume: 149 Issue: 3, 2002, pp [6] C. Toumazou, F. J. Lidgey, D.G. Haigh, Analogue IC Design: The Current-Mode Approach, Institution of Electrical Engineers, December 1, [7] J-S Ker, Y-H Kuo, "Hardware Implementation of CMAC Neural Network with Reduced Storage Requirement," IEEE Trans. on Neural Networks, Vol. 8, No. 6, November 1997, pp

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V Power Electronics Testings www.chromaate.com Turnkey Test & Automation Solution Provider w w w.chromaate.com Chroma 1. 62000H-S I-V (MPPT) 2. 66200 3. 6500/61500/61800 61800 4. 63800 4 5 9 3 Chroma I-V

More information

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号:

证券代码(A股/H股):000063/ 证券简称:中兴通讯 公告编号: 2 21,310,164 21,779,131-2.15 10,156,038 10,125,095 0.31 10.58 10.55 0.28 10.57 10.55 0.19-2,271,243-2,336,083 2.78% 0.035 0.240-85.42% 0.33 2.45 2.12 0.35 2.43 2.08 3 2,089 2,292 7,425-457 -2,587 4.2 33,418

More information

高频电疗法

高频电疗法 高 频 电 疗 法 高 频 电 疗 法 频 率 大 于 100kHz 的 交 流 电 属 于 高 频 电 流 应 用 高 频 电 流 作 用 于 人 体 以 治 疗 疾 病 的 方 法, 称 高 频 电 疗 法 ( high frequency electrotherapy ) 高 频 电 疗 法 高 频 电 疗 的 作 用 方 式 有 5 种 共 鸣 火 花 放 电 法 直 接 接 触 法 电 容

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

E L E L E L E 4 3 2 1 L L L G E E E E 4 3 2 1 1 1 2 2 n m I (e) -1 ( u) (Ve) 0 II ( ) -1 (v ) 0 III (T) -1 T (v T ) 0 ( d)

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Microsoft Word - LP doc

Microsoft Word - LP doc 第七組顯示卡規範書及答標單 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 NVIDIA 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 256MB

More information

最新执法工作手册(一百零二)

最新执法工作手册(一百零二) ........................ I .................................... II \..................................... III [2002]147 [2000]25 2002 10 1 2005 12 31 2002 10 8 1995 6 8 3 1 2 5 10 2 4 94 423

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

最新执法工作手册(一百一十六).doc

最新执法工作手册(一百一十六).doc ......... ()..................... I ... 2001... 2001........................ II ............ III 1996 12 14 24 1996 12 14 8 55 1996 12 14 1980 54 1 2 3 1 2 3 4 1 2 3 4 5 () ( 1984 147

More information

TONE RINGER

TONE RINGER D484367K65 EK_. EKD484367K65. D4843 67K6585 655W 8 D4843 67K65 WM 65 MFET D4843 67K65MFET 4.8.A EKD4843. D484367K65 3 7.5mm 3 * 6µA * EMI * * * * * MFET * * * * * * ATX * DB IN 85~65 ac UT 9 UT 5() UT

More information

2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5) X 2π(0.045) = 6.67 μt B Y = µ 0I = (4π 10 7 )(1.5) Y 2π(0.015) = 20 μt (b) B X = µ 0I = (4π 10 7 )(2) X 2

2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5) X 2π(0.045) = 6.67 μt B Y = µ 0I = (4π 10 7 )(1.5) Y 2π(0.015) = 20 μt (b) B X = µ 0I = (4π 10 7 )(2) X 2 23 (b) 1 (p. 192) 1. (a) F (b) F 2. (a) C C B B B A (b) 2 (p. 196) 1. (a) T (b) F (c) T 2. (a) W 4. 3 (p. 205) 1. A A 2. (a) F (b) T 3. 4. (a) (b) Z 3. (a) 2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5)

More information

場效電晶體簡介.doc

場效電晶體簡介.doc (field effect transistor FET) FET (gate G ) FET (source S ) FET (drain D ) n (n-channel FET) p (p-channel FET) n FET n (channel) p FET p (channel) 1 n p FET FET (unipolar devices) 1 n p FET FET BJT FET

More information

電腦設備LP 第七組顯示卡規範書

電腦設備LP 第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

HSPICE学习总结

HSPICE学习总结 生 产 实 习 报 告 一. 目 录 1. 实 习 内 容 记 述 分 析 1) HSPICE 的 基 本 操 作 过 程 2) 网 表 文 件 结 构 的 总 结 3) 简 单 的 网 表 文 件 练 习 4) 总 结 书 写 网 表 文 件 练 习 过 程 中 的 注 意 事 项 5) 练 习 电 路 参 数 的 调 整 2. 生 产 实 习 的 收 获 与 体 会 HSPICE 学 习 总 结

More information

<4D6963726F736F667420576F7264202D20313034A67EB14DAD78B14DA468A6D2BFEFC2B2B3B95FAFF3AED75F2DA965ADFBB77CABE1ADD7A5BFAAA92DA64CBB73AAA9322E646F63>

<4D6963726F736F667420576F7264202D20313034A67EB14DAD78B14DA468A6D2BFEFC2B2B3B95FAFF3AED75F2DA965ADFBB77CABE1ADD7A5BFAAA92DA64CBB73AAA9322E646F63> 民 國 104 年 國 軍 志 願 役 專 業 預 備 軍 官 預 備 士 官 班 考 選 簡 章 目 錄 壹 考 選 對 象 及 資 格 :... 1 貳 考 選 員 額 :... 3 參 報 名 程 序 :... 4 肆 考 試 日 期 及 地 點 :... 7 伍 考 試 科 目 配 分 及 命 題 範 圍 :... 7 陸 測 驗 一 般 規 定 :... 8 柒 成 績 評 定 與 錄 取

More information

1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg % 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE

1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg % 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE 1 2 1 V Pro 2 3 1 Pro 2 Fe2+ Fe3+ 3 Vc 4 Vc 5 1-12% 45-64% 4 1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg 3 1-2 11-14% 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

untitled

untitled (field effect transistor FET) 都 不 理 不 FET (gate G ) FET (source S ) FET (drain D ) 流 流 不 流 流 洞流 利 流來 n (n-channel FET) 利 洞流來 p (p-channel FET)n FET n (channel) 流 流 p FET 洞 p (channel) 流 流 來 類 1 n p FET

More information

.., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #( # ( 1 (

.., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #( # ( 1 ( ! # %! % &! # %#!! #! %!% &! # (!! # )! %!! ) &!! +!( ), ( .., + +, +, +, +, +, +,! # # % ( % ( / 0!% ( %! %! % # (!) %!%! # (!!# % ) # (!! # )! % +,! ) ) &.. 1. # % 1 ) 2 % 2 1 #% %! ( & # +! %, %. #(

More information

# % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2

# % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2 !!! #! # % & ) ) & + %,!# & + #. / / & ) 0 / 1! 2 % ) 1 1 3 1 4 5 % #! 2! 1,!!! /+, +!& 2! 2! / # / 6 2 6 3 1 2 4 # / &!/ % ). 1!!! &! & 7 2 7! 7 6 7 3 & 1 2 % # ) / / 8 2 6,!!! /+, +! & 2 9! 3 1!! % %

More information

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感

勤 學 * 卓 越 * 快 樂 成 長 本 校 在 老 師 群 策 群 力 共 同 討 論 下, 型 塑 了 學 校 願 景 : 勤 學 卓 越 快 樂 成 長 ( 一 ) 勤 學 運 用 真 的 力 量 培 養 勤 學, 以 語 文 教 為 基 礎 紮 根 ( 二 ) 卓 越 利 用 美 的 感 桃 園 市 復 旦 國 民 小 學 104 學 年 度 學 校 課 程 計 畫 壹 依 據 貳 目 的 一 教 基 本 法 第 13 條, 國 民 教 法 第 4 條 二 教 部 92 公 佈 之 國 民 中 小 學 九 年 一 貫 課 程 綱 要 三 桃 園 市 政 府 推 動 國 民 中 小 學 九 年 一 貫 課 程 實 施 計 畫 四 桃 園 市 政 府 97.5.29 府 教 數 字 第

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

電腦設備LP _第七組顯示卡規範書

電腦設備LP _第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 210 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 2.0( 含 ) 以上高速繪圖晶片 210 低階顯 1-1-3. 提供 512MB DDR2 SDRAM( 含 ) 以上顯示記憶體, 具 2560

More information

Microsoft PowerPoint - chapter_10_CH

Microsoft PowerPoint - chapter_10_CH 積體電路偏壓和主動負載 電晶體電流源 具主動負載之電路 小訊號分析 : 主動負載電路 電阻電路不適用於積體電路 電阻需要比電晶體相對較大的面積 電阻需要耦合和旁路電容 於 C 中, 幾乎不可能作出 μf 等級的電容 積體電路中, 可考慮電晶體電路作偏壓和負載元件 電晶體電流源 提供固定輸出電流之各式雙極性電晶體電路 提供固定輸出電流之各式場效電晶體電路 - 電路分析與特性了解 電晶體作負載元件 (

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Q expert-完成出卷

Q expert-完成出卷 1. ( ) 下列敘述何者錯誤? 104-1 高二電子學 H5 電晶體直流偏壓電路 (A) 電晶體的工作點又稱為 Q 點 () 更換不同 β 值的電晶體, 會影響電路的工作點 () 電晶 體欲用在線性放大電路時, 須工作於飽和區 (D) 線性放大器常用來做小信號放大 2. ( A ) 電晶體當放大器使用時, 須工作於 (A) 作用區 () 飽和區 () 截止區 (D) 以上皆非 3. ( ) 電晶體當放大器使用時,

More information

企業策略期中報告2..doc

企業策略期中報告2..doc 1 DRAM...2 I. DRAM...2 II. DRAM...2 III. DRAM...3...15 I....15 II....17 III....19 IV....19...22 I....22 II....22 III....23 IV....23...24 I. DRAM II. DRAM 1. 2 III. DRAM 1. DRAM 3 2. DRAM 4 5 6 3. DRAM

More information

<4D F736F F D20436F696C20B2FAC6B7C4BFC2BCD5FDCABDB0E62E646F63>

<4D F736F F D20436F696C20B2FAC6B7C4BFC2BCD5FDCABDB0E62E646F63> POWER INDUCTOR 功率電感 LQH SERIES Features High Q values Low resistance Ordering Code 特性 LQH 1210 4R7 高 Q 值. 1 2 3 4 低阻抗. 1.Type 類型 2.Dimension 尺寸 3. Inductance 電感值 4.Tolerance 公差 M=±20% K=±10% J=±5% Dimensions

More information

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R

f 0, : = jπfl Z C f 0, (ESR) A C = ε r ε 0 d (d) (A) 4 (ESR) (L) (Z C ) (Z C ) 4 (f 0 ) # (C) (L) :, f 0 = π LC f 0, 5 PCB (V IN ) (R L ) ESL, V IN R PCB (, 000) : PCB PCB PCB PCB PCB Basic PCB Layout Guidelines for On Board Power Supply Development Chen Zhou Semtech International AG, Shanghai Abstract: PCB layout of on board power supply is one of

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

509 (ii) (iii) (iv) (v) 200, , , , C 57

509 (ii) (iii) (iv) (v) 200, , , , C 57 59 (ii) (iii) (iv) (v) 500,000 500,000 59I 18 (ii) (iii) (iv) 200,000 56 509 (ii) (iii) (iv) (v) 200,000 200,000 200,000 500,000 57 43C 57 (ii) 60 90 14 5 50,000 43F 43C (ii) 282 24 40(1B) 24 40(1) 58

More information

Microsoft Word - MP2018_Report_Chi _12Apr2012_.doc

Microsoft Word - MP2018_Report_Chi _12Apr2012_.doc 人 力 資 源 推 算 報 告 香 港 特 別 行 政 區 政 府 二 零 一 二 年 四 月 此 頁 刻 意 留 空 - 2 - 目 錄 頁 前 言 詞 彙 縮 寫 及 注 意 事 項 摘 要 第 一 章 : 第 二 章 : 第 三 章 : 第 四 章 : 附 件 一 : 附 件 二 : 附 件 三 : 附 件 四 : 附 件 五 : 附 件 六 : 附 件 七 : 引 言 及 技 術 大 綱 人

More information

南華大學數位論文

南華大學數位論文 1 i -------------------------------------------------- ii iii iv v vi vii 36~39 108 viii 15 108 ix 1 2 3 30 1 ~43 2 3 ~16 1 2 4 4 5 3 6 8 6 4 4 7 15 8 ----- 5 94 4 5 6 43 10 78 9 7 10 11 12 10 11 12 9137

More information

李天命的思考藝術

李天命的思考藝術 ii iii iv v vi vii viii ix x 3 1 2 3 4 4 5 6 7 8 9 5 10 1 2 11 6 12 13 7 8 14 15 16 17 18 9 19 20 21 22 10 23 24 23 11 25 26 7 27 28 12 13 29 30 31 28 32 14 33 34 35 36 5 15 3 1 2 3 4 5 6 7 8 9 10 11

More information

皮肤病防治.doc

皮肤病防治.doc ...1...1...2...3...4...5...6...7...7...9...10... 11...12...14...15...16...18...19...21 I ...22...22...24...25...26...27...27...29...30...31...32...33...34...34...36...36...37...38...40...41...41...42 II

More information

性病防治

性病防治 ...1...2...3...4...5...5...6...7...7...7...8...8...9...9...10...10... 11... 11 I ...12...12...12...13...14...14...15...17...20...20...21...22...23...23...25...27...33...34...34...35...35 II ...36...38...39...40...41...44...49...49...53...56...57...57...58...58...59...60...60...63...63...65...66

More information

中国南北特色风味名菜 _一)

中国南北特色风味名菜 _一) ...1...1...2...3...3...4...5...6...7...7...8...9... 10... 11... 13... 13... 14... 16... 17 I ... 18... 19... 20... 21... 22... 23... 24... 25... 27... 28... 29... 30... 32... 33... 34... 35... 36... 37...

More information

全唐诗24

全唐诗24 ... 1... 1... 2... 2... 3... 3... 4... 4... 5... 5... 6... 6... 7... 7... 8... 8... 9... 9...10...10...10...11...12...12...12...13...13 I II...14...14...14...15...15...15...16...16...16...17...17...18...18...18...19...19...19...20...20...20...21...21...22...22...23...23...23...24

More information

Microsoft Word _醫療用恆溫保溫袋.doc

Microsoft Word _醫療用恆溫保溫袋.doc 以加 / 減法器實現之 2 的補數乘法器 Implementation of a 2 s Complement Multiplier Using Adding/subtracting Circuits 王晟瑋 (Cheng-Wei Wang) 國立虎尾科技大學光電與材料科技研究所 milk_tea_boy@hotmail.com 劉偉行 *(WeihSing Liu) 國立虎尾科技大學電子工程系 whliu@sunws.nfu.edu.tw

More information

《养生保健中的巧》

《养生保健中的巧》 1 2002.10 1...1...1...3...5...6...8...10...11...13...14...14...14...15...16...17...17...26...28...29...30...32 2...34...35...36...40...45...46...46...47...48...50...50...51...52...52...52...53...54...54...54...55...55...56...56...57...57

More information

兽医临床诊断学实验指导

兽医临床诊断学实验指导 兽 医 临 床 诊 断 学 实 验 指 导 河 北 科 技 师 范 学 院 动 物 科 学 系 临 床 兽 医 学 实 验 室 2009 年 2 月 目 录 学 生 实 验 守 则... 3 实 习 一 动 物 的 接 近 保 定 和 基 本 检 查 法...4 实 习 二 临 床 基 本 检 查 法 及 一 般 检 查...10 实 习 三 循 环 系 统 的 临 床 检 查...15 实 习 四

More information

IEC A( ) B C D II

IEC A( ) B C D II ICS 13.120 K 09 GB 4706.1 2005/IEC 60335-1:2004(Ed4.1) 1 Household and similar electrical appliances- Safety General requirements IEC60335-1 2004 Ed4.1,IDT 2005-08-26 2006-08-01 IEC 1 2 3 4 5 6 7 8 9 10

More information

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職

說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費 負 擔 金 額 表 ( 四 )- 職 第 二 三 類 投 保 單 位 二 代 健 保 實 務 說 明 會 行 政 院 衛 生 署 全 民 健 康 保 險 局 南 區 業 務 組 說 明 會 內 容 全 民 健 保 暨 施 行 細 則 修 正 之 承 保 重 點 與 案 例 說 明 二 代 健 保 實 施 後 就 醫 權 益 更 有 保 障 補 充 保 險 費 知 識 自 我 檢 測 及 討 論 附 錄 全 民 健 康 保 險 保 險 費

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

穨良導絡值與驗診壓力之關聯研究

穨良導絡值與驗診壓力之關聯研究 Study for the Effect of Applied Diagnosis Pressure to Ryodoraku Acupuncture Readings Study for the Effect of Applied Diagnosis Pressure to Ryodoraku Acupuncture Readings I II Abstract Ryodoraku Acupuncture

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

Practical Guide For Employment Of Foreign Domestic Helpers

Practical Guide For Employment Of Foreign Domestic Helpers 僱 用 外 籍 家 庭 傭 工 實 用 指 南 外 籍 家 庭 傭 工 及 其 僱 主 須 知 目 錄 前 言 第 1 章 開 始 僱 傭 關 係 時 須 注 意 的 事 項 第 2 章 發 還 傭 工 申 請 證 件 的 費 用 第 3 章 支 付 及 扣 除 工 資 第 4 章 休 息 日 法 定 假 日 及 有 薪 年 假 第 5 章 醫 療 和 疾 病 津 貼 第 6 章 生 育 保 障 第

More information

電晶體放大電路

電晶體放大電路 電晶體偏壓電路及共射極放大電路 一 實習目的 () 了解電晶體偏壓電路和工作點與穩定度等觀念 (2) 熟悉電晶體各種偏壓的電路 (3) 了解共射極電晶體基本放大電路 (4) 能量測共射極電晶體基本放大電路的交流參數 二 使用材料 零件名稱 零件值 數量 KΩ 2 2 KΩ 2.2 KΩ 3.3 KΩ 4.7 KΩ 電阻 0 KΩ 2 5 KΩ 22 KΩ 47 KΩ 68 KΩ 00 KΩ 2 可變電阻

More information

Microsoft Word - AN003_SP6012_application Note.doc

Microsoft Word - AN003_SP6012_application Note.doc Application Note SP6012 (Forward) OCT 2007 V1.1 Synchronous Rectifier Products AN003 1 Table of Contents Page 1. Block Diagram... 3 2. Pin Description... 4 3. Demo Board circuit... 5 4. Parts List... 6

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud

LED/Smart TV LED/ Function List Products \ Application Tuner block DSP block / I/O Voice/Aud LED/Smart TV LED/智慧電視 www.passivecomponent.com 1 www.passivecomponent.com LED/Smart TV LED/ Function List 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Products \ Application Tuner block DSP block / I/O Voice/Audio

More information

西南科大教字[2005] 号

西南科大教字[2005]  号 西 南 科 大 教 字 [2006]8 号 关 于 印 发 西 南 科 技 大 学 本 科 人 才 培 养 方 案 实 施 细 则 的 通 知 各 学 院 ( 部 中 心 ): 现 将 西 南 科 技 大 学 本 科 人 才 培 养 方 案 实 施 细 则 发 给 你 们, 请 认 真 遵 照 执 行 西 南 科 技 大 学 教 务 处 二 六 年 二 月 二 十 八 日 1 西 南 科 技 大 学

More information

厨房小知识(四)

厨房小知识(四) I...1...2...3...4...4...5...6...6...7...9...10... 11...12...12...13...14...15...16...17...18...18...19...22...22 II...23...24...25...26...27...27...28...29...29...30...31...31?...32...32...33?...33...34...34...35...36...36...37...37...38...38...40

More information

妇女更年期保健.doc

妇女更年期保健.doc ...1...2...3...5...6...7 40...8... 11...13...14...16...17...19...20...21...26...29...30...32 I ...34...35...37...41...46...50...51...52...53...54...55...58...64...65 X...67...68...70...70...74...76...78...79

More information

小儿传染病防治(上)

小儿传染病防治(上) ...1...2...3...5...7...7...9... 11...13...14...15...16...32...34...34...36...37...39 I ...39...40...41...42...43...48...50...54...56...57...59...59...60...61...63...65...66...66...68...68...70...70 II

More information

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63>

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63> 聘 僱 人 員 管 理 作 業 參 考 手 冊 行 政 院 人 事 行 政 總 處 編 印 中 華 民 國 104 年 3 月 序 人 事 是 政 通 人 和 的 關 鍵 是 百 事 俱 興 的 基 礎, 也 是 追 求 卓 越 的 張 本 唯 有 人 事 健 全, 業 務 才 能 順 利 推 動, 政 府 施 政 自 然 績 效 斐 然 本 總 處 做 為 行 政 院 人 事 政 策 幕 僚 機

More information

女性青春期保健(下).doc

女性青春期保健(下).doc ...1...4...10... 11...13...14...15...17...18...19...20...21...22...23...24...26...27...30...31 I ...32...33...36...37...38...40...41...43...44...45...46...47...50...51...51...53...54...55...56...58...59

More information

避孕知识(下).doc

避孕知识(下).doc ...1...3...6...13...13...14...15...16...17...17...18...19...19...20...20...23...24...24...25 I ...25...26...26...27...28...28...29...30...30...31...32...34...35 11...36...37...38...40...42...43...44...44...46

More information

孕妇饮食调养(下).doc

孕妇饮食调养(下).doc ...1...2...5...9 7...9...14...15...16...18...22...23...24...25...27...29...31...32...34 I ...35...36...37...39...40...40...42...44...46...48...51...52...53...53...54...55...56...56...58...61...64 II ...65...66...67...68...69...70...71...72...73...74...75...76...77...80...83...85...87...88

More information

禽畜饲料配制技术(一).doc

禽畜饲料配制技术(一).doc ( ) ...1...1...4...5...6...7...8...9...10... 11...13...14...17...18...21...23...24...26 I ...28 70...30...33...35...36...37...39...40...41...49...50...52...53...54...56...58...59...60...67...68...70...71

More information

中老年保健必读(十一).doc

中老年保健必读(十一).doc ...1...2...4...6...8...9...10...12...14...15...17...18...20...22...23...25...27...29 I ...30...32...35...38...40...42...43...45...46...48...52...55...56...59...62...63...66...67...69...71...74 II ...76...78...79...81...84...86...87...88...89...90...91...93...96...99...

More information

i

i i ii iii iv v vi 1 2 3 4 5 (b) (a) (b) (c) = 100% (a) 6 7 (b) (a) (b) (c) = 100% (a) 2 456 329 13% 12 120 7.1 0.06% 8 9 10 11 12 13 14 15 16 17 18 19 20 (a) (b) (c) 21 22 23 24 25 26 27 28 29 30 31 =

More information

怎样使孩子更加聪明健康(七).doc

怎样使孩子更加聪明健康(七).doc ...1...2...2...4...5 7 8...6...7...9 1 3... 11...12...14...15...16...17...18...19...20...21...22 I II...23...24...26 1 3...27...29...31...31...33...33...35...35...37...39...41...43...44...45 3 4...47...48...49...51...52

More information

i

i i ii iii iv v vi 1 g j 2 3 4 ==== ==== ==== 5 ==== ======= 6 ==== ======= 7 ==== ==== ==== 8 [(d) = (a) (b)] [(e) = (c) (b)] 9 ===== ===== ===== ===== ===== ===== 10 11 12 13 14 15 16 17 ===== [ ] 18 19

More information

群科課程綱要總體課程計畫書

群科課程綱要總體課程計畫書 核 准 文 號 :102 年 4 月 22 日 臺 教 國 署 高 字 第 1020036237 號 國 立 曾 文 高 級 農 工 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 (102 學 年 度 入 學 學 生 適 用 ) 中 華 民 國 102 年 04 月 22 日 國 立 曾 文 高 級 農 工 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 核

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

二零零六年一月二十三日會議

二零零六年一月二十三日會議 附 件 B 有 关 政 策 局 推 行 或 正 在 策 划 的 纾 缓 及 预 防 贫 穷 措 施 下 文 载 述 有 关 政 策 局 / 部 门 为 加 强 纾 缓 及 预 防 贫 穷 的 工 作, 以 及 为 配 合 委 员 会 工 作, 在 过 去 十 一 个 月 公 布 及 正 在 策 划 的 新 政 策 和 措 施 生 福 利 及 食 物 局 (i) 综 合 儿 童 发 展 服 务 2.

More information

马太亨利完整圣经注释—雅歌

马太亨利完整圣经注释—雅歌 第 1 页 目 录 雅 歌 简 介... 2 雅 歌 第 一 章... 2 雅 歌 第 二 章... 10 雅 歌 第 三 章... 16 雅 歌 第 四 章... 20 雅 歌 第 五 章... 25 雅 歌 第 六 章... 32 雅 歌 第 七 章... 36 雅 歌 第 八 章... 39 第 2 页 雅 歌 简 介 我 们 坚 信 圣 经 都 是 神 所 默 示 的 ( 提 摩 太 后 书

More information

(As at 28

(As at 28 内 地 与 香 港 关 于 建 立 更 紧 密 经 贸 关 系 的 安 排 ( 安 排 ) 常 见 问 答 个 别 行 业 : 法 律 服 务 法 律 服 务 ( 18) I 受 聘 于 内 地 律 师 事 务 所 1 II 律 师 事 务 所 联 营 组 织 2 III 香 港 律 师 事 务 所 驻 内 地 代 表 机 构 ( 代 表 处 ) 4 I V 最 低 居 留 条 件 6 V 律 师

More information

(Microsoft Word - 1012-2\256\325\260\310\267|\304\263\254\366\277\375.doc)

(Microsoft Word - 1012-2\256\325\260\310\267|\304\263\254\366\277\375.doc) 國 立 屏 北 高 級 中 學 101 學 年 度 第 2 學 期 第 2 次 校 務 會 議 紀 錄 壹 會 議 名 稱 :101 學 年 度 第 2 學 期 第 2 次 校 務 會 議 貳 時 間 :102 年 6 月 28 日 ( 星 期 五 ) 下 午 13 時 10 分 參 地 點 : 本 校 圖 書 館 四 樓 視 聽 會 議 室 肆 出 列 席 人 員 : 詳 如 簽 到 簿 伍 主

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

基 隆 市 103 年 度 人 口 統 計 分 析 單 位 名 稱 : 基 隆 市 政 府 主 計 處 編 印 時 間 : 中 華 民 國 104 年 4 月 目 錄 壹 前 言...1 貳 簡 要 分 析...3 一 人 口 概 況...3 ( 一 ) 人 口 數 與 人 口 密 度...3 ( 二 ) 戶 數 與 戶 量...3 ( 三 ) 各 行 政 區 人 口 概 況...4 二 人 口

More information

( )1

(  )1 課 程 名 稱 :99 國 文 (VI)/ 文 學 哲 理 美 學 (II)/ 科 目 代 號 :99C3201 商 業 文 學 與 修 辭 (II) ( )1. 下 列 文 句 中 的 詞 語, 前 後 用 法 相 同 的 選 項 是 : (A) 鯈 魚 出 遊 從 容, 是 魚 之 樂 也 / 他 步 履 從 容, 可 見 心 情 很 好 (B) 今 兒 老 太 太 高 興, 這 早 晚 就 來

More information

中醫執業資格試臨床考試結果上訴聆訊的決定及裁決理由

中醫執業資格試臨床考試結果上訴聆訊的決定及裁決理由 香 港 中 醫 藥 管 理 委 員 會 根 據 中 醫 藥 條 例 第 97 條 舉 行 中 醫 執 業 資 格 試 臨 床 考 試 結 果 上 訴 聆 訊 的 決 定 及 裁 決 理 由 上 訴 聆 訊 日 期 : 2016 年 3 月 4 日 下 午 4 時 15 分 上 訴 聆 訊 地 點 : 灣 仔 皇 后 大 道 東 213 號 胡 忠 大 廈 22 樓 會 議 室 裁 決 理 由 1.

More information

女性健美保健(中).doc

女性健美保健(中).doc ...1...4... 11...12...13...15 3...16...19 6...22 10...25...29...32 31...33...40...45...48...50...55 10...58 I ...61...63...64...67...69...72...76 30...77...81...86 D...92...94 4...95... 102 10... 104 PP

More information

Microsoft PowerPoint - CH03中文

Microsoft PowerPoint - CH03中文 Chapter 3 1 N P 掺 ( 掺 ) MOS 2 3 掺 Si Ge (SiGe), (SiC) (GaAs), (InP) 4 5 P 掺 掺 N 掺 6 , E c, E g, E v 7 E g = 1.1 ev E g = 8 ev 2.7 cm 4.7 cm ~ 10 10 cm > 10 20 cm 8 Shared electrons Si Si Si Si Si Si Si

More information

untitled

untitled 3 /Integrated Circuits Fuji Electric offers a lineup of AC/DC and DC/DC power supply control ICs that support a variety of power circuits. These highly efficient, lownoise products with low standby power

More information

附 錄 II. 使 用 者 操 作 效 率 實 驗 用 目 標 裁 判 書 裁 判 字 號 89, 易, 345 裁 判 日 期 890515 目 標 裁 判 書 A 裁 判 案 由 傷 害 裁 判 全 文 臺 灣 地 方 法 院 刑 事 判 決 八 十 九 年 度 易 字 第 號 公 訴 人 臺

附 錄 II. 使 用 者 操 作 效 率 實 驗 用 目 標 裁 判 書 裁 判 字 號 89, 易, 345 裁 判 日 期 890515 目 標 裁 判 書 A 裁 判 案 由 傷 害 裁 判 全 文 臺 灣 地 方 法 院 刑 事 判 決 八 十 九 年 度 易 字 第 號 公 訴 人 臺 簡 化 標 記 附 錄 I. 中 研 院 帄 衡 語 料 庫 詞 類 標 記 集 國 立 政 治 National Chengch 對 應 的 CKIP 詞 類 標 記 A A /* 非 謂 形 容 詞 */ Caa Caa /* 對 等 連 接 詞, 如 : 和 跟 */ Cab Cab /* 連 接 詞, 如 : 等 等 */ Cba Cbab /* 連 接 詞, 如 : 的 話 */ Cbb

More information

相 惜 033 女 子 受 是 靠 德 行 而 非 美 貌 033 刘 庭 式 与 盲 妻 道 义 人 生 036 什 么 是 爱, 什 么 是 欲 038 相 爱 040 爱 感 觉 是 温 暖 040 爱 言 语 是 正 直 043 爱 心 地 是 无 私 045 爱 行 为 是 成 全 050

相 惜 033 女 子 受 是 靠 德 行 而 非 美 貌 033 刘 庭 式 与 盲 妻 道 义 人 生 036 什 么 是 爱, 什 么 是 欲 038 相 爱 040 爱 感 觉 是 温 暖 040 爱 言 语 是 正 直 043 爱 心 地 是 无 私 045 爱 行 为 是 成 全 050 目 录 出 版 前 言 Ⅴ 自 由 恋 爱 慎 于 始 006 相 识 010 好 心 境 感 来 好 缘 分 010 平 常 表 现 最 能 看 清 一 个 人 011 娶 妻 首 贤 德 016 相 知 021 孝 是 选 择 对 象 好 法 宝 021 欲 知 人 者 先 自 知 025 我 们 价 值 观 是 利 害 还 是 道 义 029 I 相 惜 033 女 子 受 是 靠 德 行 而

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information