AD906 目录 特性... 应用... 概述... 修订历史...2 功能框图...3 技术规格...4 直流规格 (3.3 V)...4 直流规格 (.8 V)...5 数字时序规格 (3.3 V)...6 数字时序规格 (.8 V)...6 输入 / 输出信号规格...7 交流规格 (3.3

Size: px
Start display at page:

Download "AD906 目录 特性... 应用... 概述... 修订历史...2 功能框图...3 技术规格...4 直流规格 (3.3 V)...4 直流规格 (.8 V)...5 数字时序规格 (3.3 V)...6 数字时序规格 (.8 V)...6 输入 / 输出信号规格...7 交流规格 (3.3"

Transcription

1 四通道 低功耗 2 位 80 MSPS 数模转换器和波形发生器 AD906 产品特性高度集成的四通道 DAC 片内 位模式存储器片内 DDS 功耗 (3.3 V 4 ma 输出 ) 35 mw(80 MSPS) 休眠模式 :< 5 mw (3.3 V) 电源电压 :.8 V 至 3.3 V SFDR( 至奈奎斯特频率 ) 86 dbc( MHz 输出 ) 85 dbc(0 MHz 输出 ) 相位噪声 ( khz 偏移 80 MSPS 8 ma): 40 dbc/hz 差分电流输出 :8 ma( 最大值,3.3 V) 小尺寸 32 引脚 5 mm 5 mm LFCSP 封装, 带 3.5 mm 3.6mm 裸露焊盘无铅封装 应用医疗仪器超声传感器激励便携式仪器仪表信号发生器 任意波形发生器 概述 AD906 TxDAC 和波形发生器是高性能四通道 DAC, 集成片上模式存储器, 用于复杂波形生成, 具有直接数字频率合成器 (DDS) 该 DDS 是一个 2 位输出 最高 80 MHz 的主机时钟正弦波发生器, 带 24 位调谐字, 支持 0.8 Hz/LSB 的频率分辨率 该 DDS 具有针对全部四个 DAC 的单路频率输出, 以及针对每个 DAC 的独立可编程相移输出 SRAM 数据可包含直接生成的存储波形 施加于 DDS 输出或 DDS 频率调谐字的幅度调制模式 内置模式控制状态机允许用户对全部四个 DAC 的模式周期以及每个 DAC 通道信号输出的周期内起始延迟进行编程 SPI 接口用于配置数字波形发生器, 并将模式载入 SRAM 在数字信号传送至四个 DAC 的过程中对信号进行增益调节和失调调节 AD906 提供出色的交流和直流性能, 并支持高达 80 MSPS 的 DAC 采样率 AD906 具有灵活的工作电源范围 (.8 V 至 3.3 V) 和低功耗, 非常适合便携式和低功耗应用 Rev. A Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No One Technology Way, P.O. Box 906, Norwood, MA , U.S.A. license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 AD906 目录 特性... 应用... 概述... 修订历史...2 功能框图...3 技术规格...4 直流规格 (3.3 V)...4 直流规格 (.8 V)...5 数字时序规格 (3.3 V)...6 数字时序规格 (.8 V)...6 输入 / 输出信号规格...7 交流规格 (3.3 V)...8 交流规格 (.8 V)...8 电源电压输入和功耗...9 绝对最大额定值...0 热阻...0 SD 警告...0 引脚配置和功能描述... 典型性能参数...3 术语...9 工作原理...20 SPI 端口...2 DAC 传递函数...22 模拟电流输出...22 设置 I OUTFSx DAC 增益...22 I OUTFSx 自动校准...23 时钟输入...23 DAC 输出时钟边沿...24 产生信号模式...24 模式发生器编程...25 DACx 输入数据路径...25 DOUT 功能...26 直接数字频率合成器 (DDS)...26 SRAM...27 锯齿发生器...27 伪随机信号发生器...27 直流常数...27 电源须知...27 省电功能...27 应用信息...28 信号产生示例...28 寄存器映射...30 寄存器描述...33 外形尺寸...48 订购指南...48 修订历史 203 年 2 月 修订版 0 至修订版 A 更新格式... 通篇更改 产品特性 部分... 更改图...3 删除图 20; 重新排序...6 更改图 更改表 删除 使用外部基准电压源时的建议 部分 年 月 修订版 0: 初始版 Rev. A Page 2 of 48

3 CS AD906 功能框图 AD906 0kΩ V AGND I RF 00µA DAC DAC2 IOUTP IOUTN AVDD IOUTP2 IOUTN2 IOUTP3 IOUTN3 AVDD2 IOUTP4 IOUTN4.8V LDOs DVDD DLDO DGND CLKVDD CLDO CLKGND CLKP CLKN SDIO SCLK RST RFIO FSADJ2/CAL_SNS FSADJ START ADDR START DLY STOP ADDR SAWTOOTH CONSTANT RANDOM DDS TRIGGR DAC TO DAC2 TIMRS + STAT MACHINS ADDRSS, 2 DPRAM ADDRSS 3, 4 GAIN OFFST DAC DAC2 DAC3 DAC4 DAC3 DAC4 DAC3 TO DAC4 TIMRS + STAT MACHINS R ST4 6kΩ START DLY START ADDR STOP ADDR.8V LDO R ST3 6kΩ FSADJ3 FSADJ4 SDO/SDI2/DOUT SPI INTRFAC R ST2 6kΩ R ST 6kΩ DAC CLOCK DAC CLOCK GAIN2 GAIN3 GAIN4 OFFST2 OFFST3 OFFST4 BAND GAP PHAS PHAS2 TUNING WORD DAC CLOCK DDS DDS DDS2 DDS3 DDS4 CLOCK DIST PHAS3 PHAS4 DLDO 图. Rev. A Page 3 of 48

4 AD906 技术规格 直流规格 (3.3 V) 除非另有说明,T MIN 至 T MAX ;AVDD = 3.3 V;DVDD = 3.3 V;CLKVDD = 3.3 V; 内部 CLDO DLDO 和 DLDO2; I OUTFS = 4 ma; 最大采样速率 表. 参数最小值典型值最大值单位分辨率 2 Bits 3.3 V 时精度 差分非线性 (DNL) ±0.4 LSB 积分非线性 (INL) ±0.5 LSB DAC 输出 失调误差 ± % of FSR 增益误差 ( 内部基准电压源 无 I OUTFS 自动校准 ) 3.3 V 时满量程输出电流 % of FSR ma 输出电阻 200 MΩ 输出顺从电压 V DAC 间串扰 (f OUT = 0 MHz) 96 dbc DAC 间串扰 (f OUT = 60 MHz) 82 dbc DAC 温度漂移 增益 ( 使用内部基准电压源 ) 内部基准电压 基准输出 ±25 ppm/ C ±9 ppm/ C 内部基准电压 (AVDD = 3.3 V) V 输出电阻 0 kω 基准输入 顺从电压 V 输入电阻 ( 外部基准电压源模式 ) DAC 匹配增益匹配 无 I OUTFS 自动校准 使用 8 kω 外部 xr ST 电阻 MΩ ±0.75 % of FSR Rev. A Page 4 of 48

5 AD906 直流规格 (.8 V) 除非另有说明,T MIN 至 T MAX ;AVDD =.8 V;DVDD = DLDO = DLDO2 =.8 V;CLKVDD = CLDO =.8 V;I OUTFS = 4 ma; 最大采样速率 表 2. 参数 最小值 典型值 最大值 单位 分辨率 2 位.8 V 时精度 差分非线性 (DNL) ±0.4 LSB 积分非线性 (INL) ±0.4 LSB DAC 输出 失调误差 ± % of FSR 增益误差 ( 内部基准电压源 无 I OUTFS 自动校准 ) % of FSR.8 V 时满量程输出电流 ma 输出电阻 200 MΩ 输出顺从电压 V DAC 间串扰 (f OUT = 30 MHz) DAC 间串扰 (f OUT = 60 MHz) 94 db 78 db DAC 温度漂移增益 ±228 ppm/ C 基准电压 ±3 ppm/ C 基准输出 内部基准电压 (AVDD =.8 V) V 输出电阻 0 kω 基准输入 顺从电压 V 输入电阻 ( 外部基准电压源模式 ) MΩ DAC 匹配 增益匹配 无 I OUTFS 自动校准 ±0.75 % of FSR 使用 8 kω 外部 xr ST 电阻 Rev. A Page 5 of 48

6 A AA 4.0 AA 4.0 AD906 数字时序规格 (3.3 V) 除非另有说明,T MIN 至 T MAX ;AVDD = 3.3 V;DVDD = 3.3 V;CLKVDD = 3.3 V; 内部 CLDO DLDO 和 DLDO2; I OUTFS = 4 ma; 最大采样速率 表 3. 参数 最小值 典型值 最大值 单位 DAC 时钟输入 (CLKIN) 最大时钟速率 80 MSPS 串行外设接口最大时钟速率 (SCLK) 80 MHz 高电平最小脉冲宽度 6.25 ns 低电平最小脉冲宽度 6.25 ns SDIO 到 SCLK 建立时间 4.0 ns SDIO 到 SCLK 保持时间 5.0 ns 输出数据有效 SCLK 到 SDO 或 SDIO 6.2 ns CS 到 SCLK 建立时间 ns 数字时序规格 (.8 V) 除非另有说明,T MIN 至 T MAX ;AVDD =.8 V;DVDD = DLDO = DLDO2 =.8 V;CLKVDD = CLDO =.8 V;I OUTFS = 4 ma; 最大采样速率 表 4. 参数 最小值 典型值 最大值 单位 DAC 时钟输入 (CLKIN) 最大时钟速率 80 MSPS 串行外设接口 最大时钟速率 (SCLK) 80 MHz 高电平最小脉冲宽度 6.25 ns 低电平最小脉冲宽度 6.25 ns SDIO 到 SCLK 建立时间 4.0 ns SDIO 到 SCLK 保持时间 5.0 ns 输出数据有效 SCLK 到 SDO 或 SDIO 8.8 ns CS 到 SCLK 建立时间 ns Rev. A Page 6 of 48

7 AA AA AD906 输入 / 输出信号规格 表 5. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 CMOS 输入逻辑电平 (SCLK CS SDIO SDO/SDI2/DOUT RST TRIGGR) 输入 V IN 逻辑高电平 输入 V IN 逻辑低电平 CMOS 输出逻辑电平 (SDIO SDO/SDI2/DOUT) 输出 V OUT 逻辑高电平 输出 V OUT 逻辑低电平 DVDD =.8 V.53 V DVDD = 3.3 V V DVDD =.8 V 0.27 V DVDD = 3.3 V V DVDD =.8 V.79 V DVDD = 3.3 V 3.28 V DVDD =.8 V 0.25 V DVDD = 3.3 V V DAC 时钟输入 (CLKP CLKN) 最小峰峰值差分输入电压,V CLKP /V CLKN 50 mv V CLKP 或 V CLKN 的最大电压 VDVDD V V CLKP 或 V CLKN 的最小电压 VDGND V 芯片产生的共模电压 0.9 V Rev. A Page 7 of 48

8 AD906 交流规格 (3.3 V) 除非另有说明,T MIN 至 T MAX ;AVDD = 3.3 V;DVDD = 3.3 V;CLKVDD = 3.3 V; 内部 CLDO DLDO 和 DLDO2;I OUTFS = 4 ma; 最大采样速率 表 6. 参数 最小值 典型值 最大值 单位 无杂散动态范围 (SFDR) fdac = 80 MSPS, fout = 0 MHz 86 dbc fdac = 80 MSPS, fout = 50 MHz 73 dbc 双音交调失真 (IMD) fdac = 80 MSPS, fout = 0 MHz 92 dbc fdac = 80 MSPS, fout = 50 MHz 77 dbc NSD fdac = 80 MSPS, fout = 50 MHz 67 dbm/hz 相位噪声 ( khz 时, 来自载波 ) fdac = 80 MSPS, fout = 0 MHz 35 dbc/hz 动态性能输出建立时间, 满量程输出步进 ( 至 0.%) 触发至输出延迟,f DAC = 80 MSPS 2 上升时间, 满量程摆幅 下降时间, 满量程摆幅 基于 85 Ω 电阻 ( 从 DAC 输出端到地 ) 2 起始延迟 = 0 f DAC 时钟周期 3.2 ns 96 ns 3.25 ns 3.26 ns 交流规格 (.8 V) 除非另有说明,T MIN 至 T MAX ;AVDD =.8 V;DVDD = DLDO = DLDO2 =.8 V;CLKVDD = CLDO =.8 V;I OUTFS = 4 ma; 最大采样速率 表 7. 参数 最小值 典型值 最大值 单位 无杂散动态范围 (SFDR) fdac = 80 MSPS, fout = 0 MHz 83 dbc fdac = 80 MSPS, fout = 50 MHz 74 dbc 双音交调失真 (IMD) fdac = 80 MSPS, fout = 0 MHz 9 dbc fdac = 80 MSPS, fout = 50 MHz 83 dbc NSD fdac = 80 MSPS, fout = 50 MHz 63 dbm/hz 相位噪声 ( khz 时, 来自载波 ) fdac = 80 MSPS, fout = 0 MHz 35 dbc/hz 动态性能输出建立时间 ( 至 0.%) 3.2 ns 触发至输出延迟,f DAC = 80 MSPS 2 96 ns 上升时间 3.25 ns 下降时间 3.26 ns 基于 85 Ω 电阻 ( 从 DAC 输出端到地 ) 2 起始延迟 = 0 f DAC 时钟周期 Rev. A Page 8 of 48

9 AD906 电源电压输入和功耗 表 8. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 模拟电源电压 AVDD, AVDD V CLKVDD V CLDO 未使用片内 LDO.7.9 V 数字电源电压 DVDD V DLDO, DLDO2 未使用片内 LDO.7.9 V 功耗 AVDD = 3.3 V,DVDD = 3.3 V,CLKVDD = 3.3 V, 内部 CLDO DLDO 和 DLDO2 f DAC = 80 MSPS, 纯 CW 正弦波 2.5 MHz( 仅 DDS), 所有 4 个 DAC mw IAVDD 28.5 ma IDVDD 仅 DDS CW 正弦波输出 60.3 ma 仅 RAM 50% 占空比 FS 脉冲输出 27. ma 仅 DDS 和 RAM 50% 占空比正弦波输出 ma ICLKVDD 6.72 ma 掉电模式 RF_PDN = 0,DAC 休眠,CLK 掉电, 外部 CLK, 4.73 mw 电源开启 功耗 AVDD =.8 V,DVDD = DLDO = DLDO2 =.8 V, CLKVDD = CLDO =.8 V f DAC = 80 MSPS, 纯 CW 正弦波 2.5 MHz( 仅 DDS) 67 mw IAVDD 28.4 ma IDVDD 0.5 ma IDLDO2 仅 DDS CW 正弦波输出 ma 仅 RAM 50% 占空比 FS 脉冲输出 7.78 ma 仅 DDS 和 RAM 50% 占空比正弦波输出 35.4 ma IDLDO 4.0 ma ICLKVDD ma ICLDO 6.6 ma 掉电模式 RF_PDN = 0,DAC 休眠,CLK 掉电, 外部 CLK, 电源开启.49 mw Rev. A Page 9 of 48

10 AA AD906 绝对最大额定值 表 9. 参数 额定值 AVDD, AVDD2, DVDD 至 AGND, 0.3 V 至 +3.9 V DGND, CLKGND CLKVDD 至 AGND, DGND, CLKGND 0.3 V 至 +3.9 V CLDO, DLDO, DLDO2 至 AGND, 0.3 V 至 +2.2 V DGND, CLKGND AGND 至 DGND, CLKGND 0.3 V 至 +0.3 V DGND 至 AGND, CLKGND 0.3 V 至 +0.3 V CLKGND 至 AGND, DGND 0.3 V 至 +0.3 V ACSAA, SDIO, SCLK, SDO/SDI2/DOUT, 0.3 V 至 DVDD V ARSTAA, ATRIGGR 至 DGND CLKP, CLKN 至 CLKGND 0.3 V 至 CLKVDD V RFIO 至 AGND.0 V 至 AVDD V IOUTP, IOUTN, IOUTP2, IOUTN2, 0.3 V 至 DVDD V IOUTP3, IOUTN3, IOUTP4, IOUTN4 至 AGND FSADJ, FSADJ2/CAL_SNS, F4DJ3, 0.3 V 至 AVDD V FSADJ4 至 AGND 结温存储温度 25 ο C 65 ο C 至 +50 ο C 热阻 θ JA 针对最差条件, 即器件焊接在标准电路板上以实现表贴封装 θ JC 是从封装的焊接侧 ( 底部 ) 测量 表 0. 热阻 封装类型 θja θjb θjc 单位 32 引脚 LFCSP ο C/W ( 带裸露焊盘 ) SD 警告 SD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 SD 时, 器件可能会损坏 因此, 应当采取适当的 SD 防范措施, 以避免器件性能下降或功能丧失 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 Rev. A Page 0 of 48

11 AD906 引脚配置和功能描述 SCLK SDIO DGND DLDO2 DVDD DLDO SDO/SDI2/DOUT CS FSADJ2/CAL_SNS 23 CLKVDD 22 CLDO 2 CLKP 20 CLKN 9 CLKGND 8 RFIO 7 FSADJ4 RST IOUTP4 IOUTN4 AVDD2 IOUTN3 IOUTP3 AGND FSADJ TRIGGR IOUTP2 IOUTN2 AVDD IOUTN IOUTP AGND FSADJ AD906 TOP VIW (Not to Scale) NOTS. TH XPOSD PAD MUST B CONNCTD TO DGND. 图 2. 引脚配置 表. 引脚功能描述 引脚编号引脚名称 描述 SCLK SPI 时钟输入 2 SDIO SPI 数据输入 / 输出 SPI 端口的主要双向数据线 3 DGND 数字地 4 DLDO2.8 V 内部数字 LDO 输出 当内部数字 LDO 使能时, 应通过 0. μf 电容旁路此引脚 5 DVDD 3.3 V 外部数字电源 DVDD 定义 AD906 数字接口 (SPI 接口 ) 的电平 6 DLDO.8 V 内部数字 LDO2 输出 当内部数字 LDO2 使能时, 应通过 0. μf 电容旁路此引脚 7 SDO/SDI2/DOUT 数字 I/O 引脚 4 线 SPI 模式下, 此引脚用于从 SPI 输出数据 8 AACS 9 AARST 0 IOUTP4 IOUTN4 2 AVDD2 3 IOUTN3 4 IOUTP3 5 AGND 6 FSADJ3 7 FSADJ4 8 RFIO 9 CLKGND 20 CLKN 2 CLKP 22 CLDO 23 CLKVDD 24 FSADJ2/CAL_SNS 25 FSADJ 26 AGND 27 IOUTP 双 SPI 模式下, 此引脚是 SPI 端口的第二数据输入线 (SDI2), 用于写入 SRAM 数据输出模式下, 此引脚是可编程脉冲输出 SPI 端口片选, 低电平有效 低电平有效复位引脚 将寄存器复位至默认值 DAC4 输出电流, 正极 DAC4 输出电流, 负极 DAC3 和 DAC4 的.8 V 至 3.3 V 电源输入 DAC3 输出电流, 负极 DAC3 输出电流, 正极 模拟地 DAC3 的外部满量程电流输出调整 DAC4 的外部满量程电流输出调整 DAC 基准电压输入 / 输出 时钟地 时钟输入, 负极 时钟输入, 正极 时钟电源输出 ( 使用内部稳压器 ), 时钟电源输入 ( 旁路内部稳压器 ) 时钟电源输入引脚 DAC2 的外部满量程电流输出调整, 或用于 I OUTFS 自动校准的检测输入 DAC 的外部满量程电流输出调整, 或用于 I OUTFS 自动校准的满量程电流输出调整基准 模拟地 DAC 输出电流, 正极 Rev. A Page of 48

12 AD906 引脚编号 引脚名称 描述 28 IOUTN DAC 输出电流, 负极 29 AVDD DAC 和 DAC2 的.8 V 至 3.3 V 电源输入 30 IOUTN2 DAC2 输出电流, 负极 3 IOUTP2 DAC2 输出电流, 正极 32 AATRIGGR 模式触发器输入 PAD 裸露焊盘 裸露焊盘必须连接到 DGND Rev. A Page 2 of 48

13 AD906 典型性能参数 AVDD = 3.3 V,DVDD = 3.3 V,CLKVDD = 3.3 V, 内部 CLDO DLDO 和 DLDO SFDR mA LVL (dbc) THIRD (dbc) SCOND (dbc) SFDR (dbc) mA 2mA F OUT (MHz) 图 3. SFDR 二次和三次谐波 (I OUTFS = 8 ma) 与 F OUT 的关系 F OUT (MHz) 图 6. 三种 I OUTFS 下的 SFDR 与 F OUT 的关系 LVL (dbc) SFDR SFDR (dbc) C THIRD (dbc) SCOND (dbc) C +85 C F OUT (MHz) 图 4. SFDR 二次和三次谐波 (I OUTFS = 4 ma) 与 F OUT 的关系 F OUT (MHz) 图 7. 三种温度下的 SFDR 与 F OUT 的关系 MHz 80MHz LVL (dbc) SFDR SCOND (dbc) SFDR (dbc) MHz THIRD (dbc) F OUT (MHz) 图 5. SFDR 二次和三次谐波 (I OUTFS = 2 ma) 与 F OUT 的关系 F OUT (MHz) 图 8. 三种 F DAC 下的 SFDR 与 F OUT 的关系 Rev. A Page 3 of 48

14 AD906 RF 5dBm ATTN 8dB MKR3 4.73MHz 90.03dBm DAC4 75 IMD (dbc) DAC2 DAC3 90 DAC START 0Hz VBW 5.6kHz STOP 80MHz SWP 3.076s (60PTS) MARKR TRAC TYP X-AXIS AMPLITUD () FRQ 3.87MHz.3dBm 2 () FRQ 27.87MHz 88.70dBm 3 () FRQ 4.73MHz 90.03dBm 60 图 9. 输出频谱,F OUT = 3.87 MHz F OUT (MHz) 30 图 2. 所有四个 DAC 的 IMD 与 F OUT 的关系 MHz 80MHz IMD (dbc) MHz NSD (dbm/hz) mA mA 2mA F OUT (MHz) 60 图 0. 三个 F DAC 值下的 IMD 与 F OUT 的关系 F OUT (MHz) 30 图 3. 三个 I OUTFS 值下的 NSD 与 F OUT 的关系 mA IMD (dbc) mA 4mA NSD (dbm/hz) C C F OUT (MHz) 图. 三个 I OUTFS 值下的 IMD 与 F OUT 的关系 C F OUT (MHz) 图 4. 三种温度下的 NSD 与 F OUT 的关系 2-04 Rev. A Page 4 of 48

15 AD F S = 75MHz, 0MHz F S = 75MHz, MHz F S = 75MHz, 20MHz DNL (LSB) PHAS NOIS (dbc/hz) mA 4mA 8mA COD k 0k 00k M 0M OFFST (Hz) 2-07 图 5. 三个 I OUTFS 值下的 DNL 图 7. 相位噪声 INL (LSB) mA 4mA 8mA COD 2-06 图 6. 三个 I OUTFS 值下的 INL Rev. A Page 5 of 48

16 AD906 AVDD =.8 V, DVDD = DLDO = DLDO2 =.8 V, CLKVDD = CLDO =.8 V LVL (dbc) SFDR THIRD (dbc) SCOND (dbc) SFDR (dbc) C +85 C 40 C F OUT (MHz) 图 8. SFDR 二次和三次谐波 (I OUTFS = 4 ma) 与 F OUT 的关系 F OUT (MHz) 图 2. 三种温度下的 SFDR 与 F OUT 的关系 LVL (dbc) SFDR THIRD (dbc) SCOND (dbc) SFDR (dbc) MHz 80MHz 80MHz F OUT (MHz) 图 9. SFDR 二次和三次谐波 (I OUTFS = 2 ma) 与 F OUT 的关系 F OUT (MHz) 图 22. 三种 F DAC 下的 SFDR 与 F OUT 的关系 RF 5dBm ATTN 8dB MKR3 4.73MHz dBm SFDR (dbc) mA 4mA F OUT (MHz) 图 20. 两种 I OUTFS 下的 SFDR 与 F OUT 的关系 START 0Hz VBW 5.6kHz STOP 80MHz SWP 3.076s (60PTS) MARKR TRAC TYP X-AXIS AMPLITUD () FRQ 3.87MHz.3dBm 2 () FRQ 27.87MHz 89.05dBm 3 () FRQ 4.73MHz 88.25dBm 图 23. 输出频谱,F OUT = 3.87 MHz Rev. A Page 6 of 48

17 AD MHz IMD (dbc) MHz 80MHz NSD (dbm/hz) mA mA F OUT (MHz) 图 24. 三个 F OUT 值下的 IMD 与 F OUT 的关系 F OUT (MHz) 图 27. 两个 I OUTFS 值下的 NSD 与 F OUT 的关系 mA 40 IMD (dbc) mA NSD (dbm/hz) C +85 C F OUT (MHz) 图 25. 两个 I OUTFS 值下的 IMD 与 F OUT 的关系 C F OUT (MHz) 图 28. 三种温度下的 NSD 与 F OUT 的关系 DAC mA 4mA 70 DAC3 0.3 IMD (dbc) DAC2 DNL (LSB) DAC F OUT (MHz) 图 26. 所有四个 DAC 的 IMD 与 F OUT 的关系 COD 图 29. 三个 I OUTFS 值下的 DNL Rev. A Page 7 of 48

18 AD INL (LSB) mA 4mA COD 2-03 图 30. 两个 I OUTFS 值下的 INL Rev. A Page 8 of 48

19 AD906 术语 线性误差 ( 积分非线性或 INL) INL 指实际模拟输出与理想输出的最大偏差, 理想输出由从零电平到满量程所画的直线确定 差分非线性 (DNL) DNL 用于衡量数字输入代码改变 LSB 时模拟值 ( 用满量程归一化 ) 的变化 单调性如果一个数模转换器 (DAC) 的输出随着数字输入的增加而增加, 或者保持不变, 则认为该 DAC 是单调的 失调误差失调误差指输出电流与理想 0 值的偏差 对于 IOUTPx, 当所有输入均置 0 时, 预期输出为 0 ma 对于 IOUTNz, 当所有输入均置 时, 预期输出为 0 ma 增益误差增益误差指实际输出范围与理想输出范围的差异 所有输入均置 时的输出减去所有输入均置 0 时的输出便得到实际范围 理想增益利用实测 VRF 计算 因此, 增益误差不包括基准源的影响 输出顺从电压输出顺从电压范围指电流输出 DAC 输出端的容许电压范围 超出最大限值工作可能会引起输出级饱和或击穿, 导致非线性性能 温度漂移温度漂移衡量环境温度 (25 C) 值与 T MIN 或 T MAX 值之间的最大变化范围 失调和增益漂移用每摄氏度 ( C) 满量程范围 (FSR) 的 ppm 表示 基准电压漂移用每摄氏度 ppm 表示 (ppm/ C) 电源抑制电源抑制衡量电源从最小额定电压变为最大额定电压时, 满量程输出的最大变化 建立时间建立时间指输出达到并保持在以最终值为中心的规定误差范围内所需的时间, 从输出跃迁开始时测量 毛刺脉冲 DAC 的非对称开关时间会产生不良输出瞬变, 该瞬变用毛刺脉冲予以量化, 定义为毛刺的面积, 用 pv-s 表示 无杂散动态范围 (SFDR) SFDR 表示指定带宽内输出信号与峰值杂散信号的均方根幅值之差, 用分贝 (db) 表示 噪声谱密度 (NSD) 噪声谱密度是指 DAC 执行转换并产生输出信号音时, 归一化到 Hz 带宽的平均噪声功率 Rev. A Page 9 of 48

20 CS AD906 工作原理 SDIO SDO/SDI2/DOUT SCLK RST RFIO FSADJ2/CAL_SNS FSADJ AD906 START ADDR START DLY STOP ADDR SAWTOOTH CONSTANT RANDOM DDS SPI INTRFAC 0kΩ V R ST2 6kΩ R ST 6kΩ AGND TRIGGR DAC CLOCK DAC CLOCK DAC TO DAC2 TIMRS + STAT MACHINS ADDRSS, 2 DPRAM ADDRSS 3, 4 GAIN GAIN2 GAIN3 GAIN4 OFFST OFFST2 OFFST3 OFFST4 DAC DAC2 DAC3 DAC4 I RF 00µA BAND GAP DAC DAC2 DAC3 DAC4 IOUTP IOUTN AVDD IOUTP2 IOUTN2 IOUTP3 IOUTN3 AVDD2 IOUTP4 IOUTN4 DAC3 TO DAC4 TIMRS + STAT MACHINS PHAS PHAS2 R ST4 6kΩ START DLY START ADDR.8V LDOs STOP ADDR TUNING WORD DAC CLOCK DDS DDS DDS2 DDS3 DDS4.8V LDO CLOCK DIST R ST3 6kΩ PHAS3 PHAS4 DVDD DLDO DLDO2 DGND CLKVDD CLDO CLKGND CLKP CLKN FSADJ3 FSADJ4 图 3. AD906 功能框图图 3 为 AD906 的功能框图 AD906 有四个 2 位电流输出连接到 DVDD, 并禁用片内 LDO 这种情况下, 所有三个 DAC 电源均由外部提供 如果 CLKVDD =.8 V, 这也适用于 CLKVDD 和 CLDO 这些 DAC 使用同一基准电压源 芯片内置一个带隙基准电压源 当然, 也可以使用片外基准电压源 满量程 DAC 输 4 个 DAC 的数字信号输入由片内数字波形发生源产生 2 出电流 ( 也称为增益 ) 受电流 I RF 控制 I RF 是流经各 I RF 电阻位样本以 CLKP/CLKN 采样速率从专用数字数据路径输入的电流 每个 DAC 都有自己的 I RF 设置电阻 这些电阻可各 DAC 各 DAC 的数据路径包括增益和失调校正以及数字以在片内或片外, 由用户酌情决定 使用片内 R ST 电阻波形源选择复用器 波形源包括 :SRAM 直接数字频率时, 可以利用产品内置自动增益校准功能来提高 DAC 增益合成器 (DDS) 由 SRAM 数据调制的 DDS 输出幅度 锯齿发精度 自动校准可以采用片内基准电压源或外部 RFIO 电生器 直流常数和伪随机序列发生器 源选择复用器输出压工作 自动增益校准的程序见本部分的说明 的波形具有可编程模式特性 波形可以设置为连续式 连续脉冲式 ( 固定模式周期, 每个模式周期具有固定的起始延迟 ) AD906 有如下电源轨 :AVDD 用于模拟电路,CLKVDD/ 或有限脉冲式 ( 输出指定数量的模式周期, 然后模式停止 ) CLDO 用于时钟输入接收器,DVDD/DLDO/DLDO2 用于数字 I/O 和片内数字数据路径 AVDD DVDD 和 CLKVDD 脉冲式波形 ( 有限或连续 ) 具有编程设定的模式周期和起始的标称值可以介于.8 V 到 3.3 V DLDO DLDO2 和 CLDO 延迟 波形在各脉冲周期的全局 ( 适用于所有 4 个 DAC) 编程以.8 V 工作 如果 DVDD =.8 V, 则 DLDO 和 DLDO2 均应模式周期开始和各 DAC 的起始延迟之后提供 Rev. A Page 20 of 48

21 AA AA AD906 通过 SPI 端口将数据载入 SRAM, 以及对器件内部的所有控制寄存器进行编程 SPI 端口 AD906 提供一个灵活的同步串行通信 (SPI) 端口, 可以很方便地与 ASIC FPGA 工业标准微控制器接口 此接口可进行读 / 写操作, 访问所有 AD906 配置寄存器和片内 SRAM 其数据速率可以达到表 3 和表 4 显示的 SCLK 时钟速度 SPI 接口用作标准同步串行通信端口 CS 是低电平有效片选信号 当 CS 变为有效时,SPI 地址和数据传输即开始 SPI 主器件通过 SDIO 提供的第一位是读写指示位 ( 高电平表示读操作, 低电平表示写操作 ) 如果 CS 在第一个数据字之后仍然保持低电平, 后续 5 位就是初始寄存器地址, 允许写入或读取一组连续地址 当此命令字节的第一位是逻辑低电平 (R/W 位 = 0) 时,SPI 命令为写操作 这种情况下,SDIO 仍为输入 ( 参见图 32) COMMAND CYCL DATA TRANSFR CYCL CS SCLK SDIO R/W A4 A3 A2 A A0 D5 N D4 N D3 N D3 N D2 N D N D0 N 图 32. 串行寄存器接口时序 (MSB 优先写操作,3 线 SPI) 当此命令字节的第一位是逻辑高电平 (R/W 位 = ) 时,SPI 命 令为读操作 这种情况下, 数据从 SPI 端口输出, 如图 33 和 图 34 所示 CS 引脚变为高电平后,SPI 通信结束 COMMAND CYCL DATA TRANSFR CYCL CS 表 2. 命令字 MSB LSB DB5 DB4 DB3 DB2 DB2 DB DB0 A4 A3 A2 A2 A A0 SCLK SDIO R/W A4 A3 A2 A A0 D5 N D4 N D3 N D3 0 D2 0 D 0 D0 0 图 33. 串行寄存器接口时序 (MSB 优先读操作,3 线 SPI) CS WRIT RAD SCLK SDIO R/W A4 A3 A2 A A0 D 5 D D 0 R/W A4 A3 A2 A A0 SDO/ SDI2/ DOUT D5 N D0 N D5 N D0 N D5 N 2 D 0 D 图 34. 串行寄存器接口时序 (MSB 优先读操作,4 线 SPI) Rev. A Page 2 of 48

22 AD906 写入片内 SRAM AD906 内置一个 SRAM SRAM 地址空间是 AD906 SPI 地址映射的 0x6000 至 0x6FFF 双 SPI 写入 SRAM 利用图 35 所示的 SPI 访问模式, 写入数据到整个 SRAM 的时间可以减半 SDO/SDI2/DOUT 线变成第二串行数据输入线, 使得片内 SRAM 的更新速率可以加倍 这种模式下, SDO/SDI2/DOUT 是只读线 写入整个 SRAM 所需的时间为 ( ) 8/(2 F SCLK ) 秒 CS SCLK SDIO SDO/ SDI2/ DOUT R/W R/W = 0 ALWAYS ST WAVFORM ADDRSS TO B RAD/WRITTN A4 A3 A2 A A0 D5 N WAVFORM PATTRN ADDRSS = N A4 A3 A2 A A0 D5 M WAVFORM PATTRN ADDRSS2 = M WAVFORM DATA TO B WRITTN D0 N D5 N D0 N D5 N 2 WAVFORM PATTRN DATA D0 M D5 M 图 35. 双 SPI 写入 SRAM D0 M D5 M 2 WAVFORM PATTRN DATA D 0 D0 0 D N + D0 N + 配置寄存器更新程序大部分 SPI 可访问寄存器是双缓冲型 在模式产生期间, 一个有效寄存器集控制 AD906 的操作 一组阴影寄存器存储更新的寄存器值 寄存器更新可以随时写入 ; 配置更新完成时, 用户写入 到 RAMUPDAT 寄存器的 UPDAT 位 UPDAT 位指示该寄存器集准备好将阴影寄存器内容传输到有效寄存器 AD906 在下次模式发生器关闭时自动执行此传输 该程序不适用于 4K 2 SRAM SRAM 更新程序参见 SRAM 部分 DAC 传递函数 AD906 DAC 提供 4 路差分电流输出 :IOUTP/IOUTN IOUTP2/ IOUTN2 IOUTP3/IOUTN3 和 IOUTP4/ IOUTN4 DAC 输出电流通过下式计算 : IOUTPx= IOUTFSx xdac INPUT COD/2 2 () IOUTNx = IOUTFSx ((2 2 ) xdac INPUT COD)/2 2 其中 : xdac INPUT COD = 0 至 2 2 I OUTFSx = 各 DAC 独立设置的满量程电流或 DAC 增益 (2) IOUTFSx = 32 IIRFx (3) 其中 : IRFx = VRFIO/xRST (4) I RFx 是流经各 I RFx 电阻的电流 每个 DAC 都有自己的 I RF 设置电阻 I RF 电阻可以在片内或片外, 由用户酌情决定 使用片内 xr ST 电阻时, 可以利用产品内置自动增益校准功能来提高 DAC 增益精度 模拟电流输出 DAC 输出以差分方式连接到放大器或变压器时, 可实现最佳线性度和噪声性能 这种配置可抑制 DAC 输出端的共模信号 要达到表 和表 2 给出的性能标准, 必须遵守这些表格中列出的输出顺从电压要求 设置 I OUTFSx DAC 增益如公式 3 和公式 4 所示,DAC 增益 (I OUTFSx ) 是各 DAC 的 RFIO 端基准电压和 xr ST 的函数 基准电压源 AD906 内置一个标称值.0 V 带隙基准电压源 既可以使用该内部基准电压源, 也可以用更精确的片外基准电压源取而代之 外部基准电压源可以提供比片内带隙基准电压源更严格的基准电压误差和 / 或更低的温漂 默认情况下, 片内基准电压源上电且可用 使用片内基准电压源时,RFIO 端需要利用 0. μf 电容去耦到 AGND, 如图 36 所示 0.µF AVSS RFIO FSADJx xr ST V BG.0V I RFx AD906 + CURRNT SCALING x32 DACx I OUTFSx 图 36. 片内基准电压源和外部 xr ST 电阻 表 3 总结了基准电压源连接和编程 表 3. 基准电压源操作 基准模式 RFIO 引脚 内部 连接 0. µf 电容 外部 连接片外基准电压源 Rev. A Page 22 of 48

23 AD906 内部 V RFIO 编程内部 RFIO 电平可编程 使用内部基准电压源时, 寄存器 0x03 的低 6 位 BGDR 域用于调整 V RFIO 电平, 将 RFIO 上的标称带隙电压增加或减少 20% FSADJx 电阻上的电压会跟踪此变化 因此,I RFx 也会产生同样的变化 图 37 显示 V RFIO 与 BGDR 码之间的关系, 片内基准电压源的默认电压 (BGDR = 0x00) 为.04 V V RFIO (V) COD 图 37. V RF 电压典型值与 BGDR 的关系 xr ST 电阻公式 4 中各 DAC 的 xr ST 既可以是内部电阻, 也可以是用户选择的板级电阻, 连接到适当的 FSADJx 引脚 为使用片内 xr ST 电阻, 分别对应于 DAC DAC2 DAC3 和 DAC4 的寄存器 0x0C 0x0B 0x0A 和 0x09 的第 5 位应设置为逻辑 寄存器 0x0C 0x0B 0x0A 和 0x09 的位 [4:0] 分别用于手动设置 DAC DAC2 DAC3 和 DAC4 的片内 xr ST I OUTFSX 自动校准许多应用要求严格的 DAC 增益控制 AD906 提供一个 I OUTFSx 自动校准程序, 它只能与片内 xr ST 电阻一起使用 基准电压 V RFIO 可以是片内或片外基准电压 自动校准程序对各内部 xr ST 值和各电流 I RFx 进行精密调整 使用自动校准时, 需要下列板级连接 :. 将 FSADJ 和 FSADJ2/CAL_SNS 连接在一起 2. FSADJ2/ CAL_SNS 与地之间应安装一个电阻 此电阻的值应为 R CAL_SNS = 32 V RFIO /I OUTFS, 其中 I OUTFS 是所有四个 DAC 的目标满量程电流 自动校准使用内部时钟 此校准时钟等于 DAC 时钟除以寄存器 0x0D 的 CAL_CLK_DIV 位所选择的分频系数 每个校准 周期介于 4 到 52 个 DAC 时钟周期之间, 具体取决于 CAL_CLK_DIV[2:0] 的值 校准时钟的频率应小于 500 khz 要执行自动校准, 请遵循以下步骤 :. 将寄存器 0x08[7:0] 和 0x0D[5:4] 中的校准范围设置为最小值, 以便获得最佳校准 2. 使能寄存器 0x0D 中的校准时钟位 CAL_CLK_N 3. 设置寄存器 0x0D 中的校准时钟分频比位 CAL_CLK_DIV [2:0] 默认值为 将寄存器 0x0D 的 CAL_MOD_N 位设置为逻辑 5. 将寄存器 0x000 的 START_CAL 位设置为逻辑 随即开始校准比较器 xrst 和增益 6. 器件校准时, 寄存器 0x000D 中的 CAL_MOD 标志位变为逻辑 校准完成时, 寄存器 0x0 中的 CAL_FIN 标志位变为逻辑 7. 将寄存器 0x0 的 START_CAL 位设置为逻辑 0 8. 校准完成后, 验证寄存器 0x0D 中的上溢和下溢标志位未置 ( 位 [4:8]) 如果有标志位置, 应将相应的校准范围更改为下一个较大的范围, 并从步骤 5 重新开始 9. 如果无标志位置, 则分别读取 DACxRST[2:8] 和 DACxGAIN[4:8] 寄存器中的 DACx_RST_CAL 和 DACx_AGAIN_CAL 值, 并将其写入对应的 DACxRST 和 DACxAGAIN 寄存器 0. 将寄存器 0x0D 的 CAL_MOD_N 位和校准时钟位 CAL_CLK_N 复位至逻辑 0, 禁用校准时钟. 将寄存器 0x0D 的 CAL_MOD_N 位设置为逻辑 0 这将把 RST 和增益控制复用器设置为指向常规寄存器 2. 禁用寄存器 0x0D 中的校准时钟位 CAL_CLK_N 要复位校准, 可发送脉冲使寄存器 0x0D 的 CAL_RST 位先变为逻辑 再变为逻辑 0, 发送脉冲至 RST 引脚, 或发送脉冲至 SPICONFIG 寄存器的 RST 位 时钟输入为实现最佳 DAC 性能,AD906 时钟输入信号对 (CLKP/ CLKN) 应是极低抖动 快速上升时间的差分信号 时钟接收器产生自己的共模电压, 要求这两个输入交流耦合 图 38 显示了能够很好地与 AD906 协作的多种 ADI LVDS 时钟驱动器的建议接口 使用一个 00 Ω 终端电阻和两个 0. μf 耦合电容 图 40 显示了与 ADI 差分 PCL 驱动器的接口 图 4 显示了使用巴伦驱动 CLKP/CLKN 的单端转差分转换器, 这是为 AD906 提供时钟的首选方法 Rev. A Page 23 of 48

24 AD906 CLK+ CLK CLK+ 0.µF CLK 0.µF 50Ω* 50Ω* LVDS DRIVR CLK *50Ω RSISTORS AR OPTIONAL. 50Ω 0.µF 0.µF CLK CLK AD950/AD95/ AD952/AD953/ AD954/AD955/ AD956/AD958 图 38. 差分 LVDS 时钟输入 CMOS DRIVR 0.µF 00Ω AD950/AD95/ AD952/AD953/ AD954/AD955/ AD956/AD958 0.µF 0.µF OPTIONAL 00Ω 39kΩ CLKP AD906 CLKN 在模拟输出信号频率较低的应用中,AD906 时钟输入可以利用单端 CMOS 信号驱动 图 39 显示了这种接口 CLKP 引脚直接由 CMOS 门电路驱动,CLKN 引脚则通过与 39 kω 电阻并联的 0. μf 电容旁路至地 可选电阻为串联端接电阻 图 39. 单端.8 V CMOS 采样时钟 CLKP AD906 CLKN 产生信号模式在可编程模式发生器的控制下,AD906 可以产生三类信号模式 连续波形 无限重复的周期性脉冲串波形 重复有限次数的周期性脉冲串波形 RUN 位将 PAT_STATUS 寄存器的 RUN 位置,AD906 即准备产生模式 此位清 0 将关断模式发生器, 如图 45 所示 触发引脚触发引脚上的下降沿启动模式产生 如果 RUN 置, 则触发脉冲的下降沿启动模式产生 如图 43 所示, 在触发引脚下降沿之后的若干 CLKP/CLKN 时钟周期, 模式发生器进入 模式开启 状态 此延迟通过 PATTRN_DLAY 位域设置 触发引脚的上升沿请求终止模式产生 ( 见图 44) PATTRN 位 ( 只读 ) 当 PAT_STATUS 寄存器的只读位 PATTRN 置 时, 表示模式发生器处于 模式开启 状态 0 表示模式发生器处于 模式关闭 状态 0.µF CLK+ 0.µF CLK 50Ω* 50Ω* CLK PCL DRIVR CLK AD950/AD95/ AD952/AD953/ AD954/AD955/ AD956/AD Ω 240Ω 0.µF 00Ω 0.µF CLKP AD906 CLKN *50Ω RSISTORS AR OPTIONAL. 图 40. 差分 PCL 采样时钟 2-04 CLK+ 50Ω Mini-Circuits ADT-WT, :Z 0.µF 0.µF XFMR 0.µF SCHOTTKY DIODS: HSM282 CLKP AD906 CLKN 图 4. 变压器耦合时钟 DAC 输出时钟沿通过配置 CLOCKCONFIG 寄存器的 DACx_INV_CLK 位, 可以独立配置各 DAC 在 CLKP/CLKN 时钟输入的上升沿或下降沿输出样本 此功能将 DAC 输出时序分辨率设置为 / (2 F CLKP/CLKN ) Rev. A Page 24 of 48

25 AD906 模式类型 在模式发生器的模式开启状态期间, 某些或所有 DACx 输出连续波形 连续波形忽略模式周期 无限重复的周期性脉冲串是在各模式周期期间输出的一次性波形 只要模式发生器处于模式开启状态, 模式周期就会一个接一个出现 重复有限次数的周期性脉冲串与无限重复的脉冲串大致相同, 区别在于波形是在有限数量的连续模式周期中输出 设置波形起始延迟基数波形起始延迟基数通过 PAT_TIMBAS 寄存器的 START_DLAY_BAS 域设置 各 DACx 都有一个 START_DLYx 寄存器, 如 DACX 输入数据路径部分所述 起始延迟基数决定每个 START_DLAYx LSB 有多少 CLKP/ CLKN 时钟周期 RUN BIT t DLY = PATTRN_DLAY VALU + t SU TRIGGR TRIGGR PATTRN STARTS PATTRN XCUTD PATTRN_PRIOD PATTRN XCUTD PATTRN XCUTD CLKP/ CLKN START_DLY DAC START_ADDR. STOP_ADDR. PATTRN GNRATOR STAT PATTRN GNRTAOR OFF PATTRN GNRTAOR ON 图 43. 触发驱动的模式开始和模式延迟 START_DLY2 t SU DAC2 START_DLY3 START_ADDR.2 STOP_ADDR.2 TRIGGR DAC3 CLKP/ CLKN START_DLY4 DAC4 START_ADDR.3 START_ADDR.4 STOP_ADDR.3 STOP_ADDR PATTRN GNRATOR STAT PATTRN ON PATTRN OFF PATTRN STOPS 图 42. 所有 DACx 的周期性脉冲串输出 图 44. 触发上升沿驱动的模式停止 模式发生器编程图 44 显示各 DACx 输出端观察到的周期性脉冲串波形 四个波形在各模式周期中产生 各 DACx 都有自己的起始延迟 (START_DLYx), 即指各模式周期的开始与波形的开始之间的延迟时间 四个 DACx 波形是同一数字信号, 存储在 SRAM 中, 并乘以 DACx 数字增益系数 SRAM 数据利用各 DACx 地址计数器同步读取 设置模式周期使用两个寄存器位域来设置模式周期 PAT_TIMBAS 寄存器的 PAT_PRIOD_BAS 域设置每个 PATTRN_PRIOD LSB 的 CLKP/N 时钟数 PATTRN_PRIOD 通过 PAT_PRIOD 寄存器设置 最长模式周期为 /F CLKP/CLKN RUN BIT CLKP/ CLKN PATTRN GNRATOR STAT PATTRN ON 图 45. RUN 位驱动的模式停止 PATTRN OFF PATTRN STOPS DACx 输入数据路径四个 DACx 各自都有数字数据路径 DACx 数据路径的时序由模式发生器控制 各 DACx 数据路径包括波形选择器 波形重复控制器 RAM 输出和 DDS 输出乘法器 (RAM 输出可以对 DDS 输出进行幅度调制 ) DDSx 周期计数器 DACx 数字增益乘法器和 DACx 数字偏移加法器 Rev. A Page 25 of 48

26 AD906 DACx 数字增益乘法器样本在前往各 DACx 的路上, 会乘以一个范围为 ±2.0 的 2 位增益系数 这些增益值通过 DACx_DGAIN 寄存器设置 DACx 数字偏移加法器 DACx 输入样本还会与一个 2 位直流偏移值相加 该直流偏移值通过 DACxDOF 寄存器设置 DACx 波形选择器波形选择器输入包括 : DACx 锯齿发生器输出 DACx 伪随机序列发生器输出 DACx 直流常数发生器输出 DACx 脉冲式相移 DDS 正弦波输出 RAM 输出 DACx 脉冲式相移 DDS 正弦波输出幅度, 由 RAM 输出调制各 DACx 的波形选择通过设置 WAVx_yCONFIG 寄存器实现 模式发生器控制 DOUT 图 46 显示模式发生器控制的 DOUT 脉冲的上升沿 图 47 显示下降沿 模式发生器控制 DOUT 通过设置 DOUT_MOD = 来设置 然后, 通过 DOUT_START_DLY 寄存器设置起始延迟, 并通过 DOUT_CONFIG 寄存器的 DOUT_STOP 域设置停止延迟 在输入触发引脚的信号下降沿之后的 DOUT_START[5:0] 个 CLKP/CLKN 周期后,DOUT 变为高电平 只要模式一直产生,DOUT 就会保持高电平 在导致模式产生停止的时钟沿之后的 DOUT_STOP[3:0] 个 CLKP/CLKN 周期后, DOUT 变为低电平 TRIGGR DOUT DLAY= DOUT_START[5:0] CLKP/CLKN CYCLS t SU DACx 模式周期重复控制器 PAT_TYP 寄存器的 PATTRN_RPT 位控制模式输出是自动重复 ( 无限周期性脉冲串重复 ), 还是连续重复一定的次 CLKP/ CLKN DOUT 数 ( 由 DACx_RPAT_CYCL 域指定 ) 后者是重复有限次数的周期性脉冲串 DACx DDS 周期数 图 46. DOUT 启动序列 PATTRN STOPS 各 DACx 输入数据路径用正弦波周期数确定同一 DDS 输出正弦波的脉冲宽度 该周期数通过 DDS_CYCx 寄存器设置 PATTRN GNRATOR STAT PATTRN ON PATTRN OFF DACx DDS 相移各 DACx 输入数据路径可以使同一 DDS 的输出发生相移 该相移通过 DDSx_PHAS 域设置 DOUT 功能在 AD906 DAC 驱动高压放大器 ( 例如超声传感器阵列元件驱动器信号链 ) 的应用中, 可能需要在相对于 AD906 DAC 输出波形的精确时间点开启和关闭各放大器 SDO/SDI2/ DOUT 引脚可用来实现此功能 一个放大器开关选通信号可用于所有四个 DAC SPI 接口需要配置为 3 线模式 ( 见图 32 和图 33), 这可通过设置 SPICONFIG 寄存器的 SPI3WIR 或 SPI3WIRM 位来实现 当 SPICONFIG 寄存器的 SPI_DRV 或 SPI_DRVM 设为逻辑 时,SDO/SDI2/DOUT 引脚提供 DOUT 功能 手动控制 DOUT 如果 DOUT_CONFIG 寄存器的 DOUT_MOD = 0, 就可以使用该寄存器的 DOUT_VAL 位开启或关闭 DOUT CLKP/CLKN DOUT DOUT DLAY = DOUT_STOP[3:0] CLKP/CLKN CYCLS 图 47. DOUT 停止序列 直接数字频率合成器 (DDS) 直接数字频率合成器产生一个正弦波, 它可通过任意 DACx 输出 DDS 是一个全局共享的信号源, 它以其调谐字输入决定的频率产生正弦波 该调谐字为 24 位宽 DDS 调谐分辨率为 F CLKP/CLKN /2 24 DDS 输出频率为 DDS_TW F CLKP/CLKN /2 24 DDS 调谐字可通过两种方法设置 对于固定频率, DDSTW_MSB 和 DDSTW_LSB 设置为常数 如果 DDS 的频率需要在各模式周期内改变, 则 SRAM 中存储的一系列值与选择的 DDSTW_MSB 位组合成调谐字 Rev. A Page 26 of 48

27 AD906 SRAM AD906 4K 2 SRAM 可以包含信号样本 幅度调制模式 DDS 调谐字列表或 DDS 输出相位偏移字列表 只要 SRAM 未积极参与模式产生 (RUN = 0), 就可以通过 SPI 端口写入和读出存储器数据 要写入 SRAM, 请按如下方式设置 PAT_STATUS 寄存器 : BUF_RAD = 0 MM_ACCSS = RUN = 0 要从 SRAM 读出数据, 请按如下方式设置 PAT_STATUS: BUF_RAD = MM_ACCSS = RUN = 0 用于 SRAM 的 SPI 端口地址空间为 0x6000 至 0x6FFF 可以利用图 32 至图 35 所示的任意 SPI 工作模式访问 SRAM 使用图 33 和图 34 所示的 SPI 工作模式, 可以在 ( ) 8/F SCLK 秒内写入整个 SRAM SRAM 是一个共享的信号产生资源 来自这一个 4K 2 存储器的数据可以用于为所有四个 DAC 产生信号 当 PAT_STATUS 寄存器 RUN 位 = ( 模式产生使能 ) 时, 各 DACx 数据路径都有自己的 SRAM 地址计数器 每个地址计数器都有自己的 START_ADDRx 和 STOP_ADDRx 在各模式周期, 数据在 START_DLAYx 时间之后从 RAM 读出, 同时各地址计数器递增 SRAM 由所有四个 DACx 数据路径同时读取 递增模式产生模式 SRAM 地址计数器各 SRAM 地址计数器可以设置为由 CLKP/CLKN( 默认 ) 或 DDSx MSB 上升沿递增 DDSx[:0] 是给定 DACx 的 DDS 输出样本 具体选择由 DDSx_CONFIG 寄存器的 DDS_ MSB_nx 位决定 例如, 当利用 SRAM 中的一组调谐字从 DDS 产生线性跳频 (chirp) 波形时, 可以利用 DDSx MSB 为地址计数器提供时钟 每个频率设置驻留一个 DDS 输出正弦波周期 锯齿发生器各 DACx 都有一个独立的锯齿信号发生器 通过 WAV4_3CONFIG 或 WAV2_CONFIG 寄存器的任意 PRSTOR_SLx 域选择锯齿时, 相应的锯齿发生器连接到所需的 DACx 数字数据路径 锯齿类型如图 48 所示, 通过 SAWx_yCONFIG 寄存器的 SAW_TYPx 域选择 锯齿波形每一步的样本数通过 SAW_STPx 域设置 POSITIV SAWTOOTH NGATIV SAWTOOTH TRIANGL WAV 图 48. 锯齿模式 伪随机信号发生器当 WAV4_3CONFIG 或 WAV2_CONFIG 寄存器的任意 PRSTOR_SLx 域选择 伪随机序列 时, 伪随机噪声发生器在各 DACx 输出产生一个噪声信号 伪随机噪声信号只能以连续波形形式产生 直流常数当 WAV4_3CONFIG 或 WAV2_CONFIG 寄存器的任意 PRSTOR_SLx 域选择 常数值 时, 各 DACx 输出可产生 0.0 到 I OUTFSx 之间的可编程直流电流 直流常数电流只能以连续波形形式产生 直流电流值通过写入相应 DACx_CST 寄存器的 DACx_CONST 域来设置 电源须知 AD906 电源轨要求参见表 9 AD906 包括三个片内线性稳压器 这些稳压器驱动的电源轨以.8 V 工作 这些稳压器有如下两条使用规则需要注意 : 当 CLKVDD 为 2.5 V 或更高时, 可以使用.8 V 片内 CLDO 稳压器 如果 CLKVDD =.8 V, 必须将 POWRCONFIG 寄存器的 PDN_LDO_CLK 位置 以禁用 CLDO 稳压器 CLKVDD 和 CLDO 连接在一起 当 DVDD 为 2.5 V 或更高时, 可以使用.8 V 片内 DLDO 和 DLDO2 稳压器 如果 DVVD =.8 V, 必须将 POWR- CONFIG 寄存器的 PDN_LDO_DIG 位和 PDN_LDO_DIG2 位置 以禁用 DLDO 和 DLDO2 稳压器 DVDD DLDO 和 DLDO2 连接在一起 省电功能利用 POWRCONFIG 寄存器, 用户可以在 CLKP/CLKN 输入运行且电源开启的同时, 将 AD906 置于低功耗状态 通过将 POWRCONFIG 寄存器的 DACx_SLP 位置, 可以将 DAC DAC2 DAC3 和 DAC4 置于休眠状态 通过设置 CLOCKCONFIG 寄存器的 CLK_PDN 位, 可以关闭波形发生器及 DAC 的时钟 采取这些措施将使 AD906 进入省电模式, 详见表 Rev. A Page 27 of 48

28 AD906 应用信息 信号产生示例本部分提供 AD906 波形和模式产生示例 图 49 显示各 DACx 产生的不同波形 这些波形全都存储在 4K 2 SRAM 的不同区段中 DACx 路径地址计数器同时访问 SRAM 各波形在各模式周期中重复一次 在每个模式周期中, 经过起始延迟后, 从 SRAM 读出模式 PATTRN_PRIOD START_DLY #CYCLS DAC START_DLY2 #CYCLS2 DAC2 TRIGGR #CYCLS3 PATTRN XCUTD PATTRN XCUTD PATTRN XCUTD START_DLY3 PATTRN_PRIOD DAC3 START_DLY #CYCLS4 START_DLY4 DAC START_DLY2 DAC2 START_DLY3 START_ADDR START_ADDR2 STOP_ADDR STOP_ADDR2 DAC4 图 50. 模式周期中的脉冲式正弦波图 5 显示在含起始延迟的连续模式周期中,DAC 产生脉冲式正弦波,DAC2 DAC3 和 DAC4 各产生一个锯齿波形 2-05 DAC3 ( 共有三个可用 ) START_DLY4 DAC4 START_ADDR3 START_ADDR4 STOP_ADDR3 STOP_ADDR4 图 49. 使用 SRAM 中存储的不同波形的模式 图 50 显示各 DACx 产生的脉冲式正弦波 DDS 以设定的频率产生正弦波 各 DACx 通道的起始延迟和要输出的正弦波周期数通过编程设置 PATTRN_PRIOD START_DLY #CYCLS DAC START_DLY2 DAC2 START_DLY3 DAC3 START_DLY4 DAC 图 5. 模式周期中的脉冲式正弦波和锯齿波形 Rev. A Page 28 of 48

29 AD906 图 52 显示所有 DACx 输出由幅度包络调制的正弦波 正弦波由 DDS 产生, 幅度包络存储在 SRAM 中 各 DACx 输入数据路径应用不同的起始延迟和数字增益系数 PATTRN_PRIOD DAC START_DLY START_DLY2 START_DLY DAC2 DAC START_DLY3 START_DLY2 START_ADDR STOP_ADDR DAC3 DAC2 START_ADDR2 START_DLY3 STOP_ADDR2 START_DLY4 DAC DAC3 图 53. 具有起始延迟的波形 START_ADDR3 STOP_ADDR3 START_DLY4 DAC DAC4 START_ADDR4 STOP_ADDR 图 53 和图 54 显示四个 DAC 产生连续波形 一个有起始延 迟, 一个没有 图 52. 由 RAM 包络调制的 DDS 输出幅度 DAC2 DAC3 DAC 图 54. 无起始延迟的波形 Rev. A Page 29 of 48

30 AD906 寄存器映射 表 4. 寄存器小结 地址 ( 十六进制 ) 寄存器名称位位 7 位 6 位 5 位 4 位 3 位 2 位 位 0 复位 RW 0x00 SPICONFIG [5:8] LSBFIRST SPI3WIR 复位 DOUBLSPI SPI_DRV DOUT_N RSRVD[3:2] 0x00 [7:0] RSRVD[:0] DOUT_NM SPI_DRVM DOUBLSPIM RSTM SPI3WIRM LSBFIRSTM 0x0 POWRCONFIG [5:8] 保留 CLK_LDO_STAT DIG_LDO_STAT DIG2_LDO_STAT PDN_LDO_CLK 0x00 [7:0] PDN_LDO_DIG PDN_LDO_DIG2 RF_PDN RF_XT DAC_SLP DAC2_SLP DAC3_SLP DAC4_SLP 0x02 CLOCKCONFIG [5:8] 保留 [5:2] DIS_CLK DIS_CLK2 DIS_CLK3 DIS_CLK4 0x00 [7:0] DIS_DCLK CLK_SLP CLK_PDN PS DAC_INV_CLK DAC2_INV_CLK DAC3_INV_CLK DAC4_INV_CLK 0x03 RFADJ [5:8] 保留 [9:2] 0x00 [7:0] 保留 [:0] BGDR 0x04 DAC4AGAIN [5:8] 保留 DAC4_GAIN_CAL 0x00 [7:0] 保留 DAC4_GAIN 0x05 DAC3AGAIN [5:8] 保留 DAC3_GAIN_CAL 0x00 [7:0] 保留 DAC3_GAIN 0x06 DAC2AGAIN [5:8] 保留 DAC2_GAIN_CAL 0x00 [7:0] 保留 DAC2_GAIN 0x07 DACAGAIN [5:8] 保留 DAC_GAIN_CAL 0x00 [7:0] 保留 DAC_GAIN 0x08 DACxRANG [5:8] 保留 0x00 [7:0] DAC4_GAIN_RNG DAC3_GAIN_RNG DAC2_GAIN_RNG DAC_GAIN_RNG 0x09 DAC4RST [5:8] DAC4_RST_N 保留 DAC4_RST_CAL 0x 000A [7:0] 保留 DAC4_RST 0x0A DAC3RST [5:8] DAC3_RST_N 保留 DAC3_RST_CAL 0x [7:0] 保留 DAC3_RST 000A 0x0B DAC2RST [5:8] DAC2_RST_N 保留 DAC2_RST_CAL 0x 000A [7:0] 保留 DAC2_RST 0x0C DACRST [5:8] DAC_RST_N 保留 DAC_RST_CAL 0x [7:0] 保留 DAC_RST 000A 0x0D CALCONFIG [5:8] 保留 COMP_OFFST _OF COMP_OFFST _UF RST_CAL_OF RST_CAL_UF GAIN_CAL_OF GAIN_CAL_UF CAL_RST 0x00 [7:0] CAL_MOD CAL_MOD_N COMP_CAL_RNG CAL_CLK_N CAL_CLK_DIV 0x0 COMPOFFST [5:8] 保留 COMP_OFFST_CAL 0x00 [7:0] 保留 CAL_FIN START_CAL 0xD RAMUPDAT [5:8] 保留 [4:7] 0x00 [7:0] 保留 [6:0] RAMUPDAT 0x PAT_STATUS [5:8] 保留 [2:5] 0x00 [7:0] 保留 [3:0] BUF_RAD MM_ACCSS PATTRN RUN 0xF PAT_TYP [5:8] 保留 [4:7] 0x00 [7:0] 保留 [6:0] PATTRN_RPT 0x20 PATTRN_DLY [5:8] PATTRN_DLAY[5:8] 0x 000 [7:0] PATTRN_DLAY[7:0] 0x22 DAC4DOF [5:8] DAC4_DIG_OFFST[:4] 0x00 [7:0] DAC4_DIG_OFFST[3:0] 保留 0x23 DAC3DOF [5:8] DAC3_DIG_OFFST[:4] 0x00 [7:0] DAC3_DIG_OFFST[3:0] 保留 0x24 DAC2DOF [5:8] DAC2_DIG_OFFST[:4] 0x00 [7:0] DAC2_DIG_OFFST[3:0] 保留 0x25 DACDOF [5:8] DAC_DIG_OFFST[:4] 0x00 [7:0] DAC_DIG_OFFST[3:0] 保留 0x26 WAV4_3CONFIG [5:8] 保留 PRSTOR_SL4 保留 WAV_SL [7:0] 保留 PRSTOR_SL3 保留 WAV_SL3 0x27 WAV2_CONFIG [5:8] 保留 PRSTOR_SL2 MASK_DAC4 CH2_ADD WAV_SL2 0x00 [7:0] 保留 PRSTOR_SL MASK_DAC3 CH_ADD WAV_SL Rev. A Page 30 of 48

31 AD906 地址 ( 十 六进制 ) 寄存器名称 位 位 7 位 6 位 5 位 4 位 3 位 2 位 位 0 复位 0x28 PAT_TIMBAS [5:8] 保留保持 0x0 [7:0] PAT_PRIOD_BAS START_DLAY_BAS 0x29 PAT_PRIOD [5:8] PATTRN_PRIOD[5:8] 0x8000 [7:0] PATTRN_PRIOD[7:0] 0x2A DAC4_3PATx [5:8] DAC4_RPAT_CYCL 0x00 [7:0] DAC3_RPAT_CYCL 0x2B DAC2_PATx [5:8] DAC2_RPAT_CYCL 0x00 [7:0] DAC_RPAT_CYCL 0x2C DOUT_START _DLY [5:8] DOUT_START[5:8] 0x0003 [7:0] DOUT_START[7:0] 0x2D DOUT_CONFIG [5:8] 保留 [9:2] 0x00 [7:0] 保留 [:0] DOUT_VAL DOUT_MOD DOUT_STOP 0x2 DAC4_CST [5:8] DAC4_CONST[:4] 0x00 [7:0] DAC4_CONST[3:0] 保留 0x2F DAC3_CST [5:8] DAC3_CONST[:4] 0x00 [7:0] DAC3_CONST[3:0] 保留 0x30 DAC2_CST [5:8] DAC2_CONST[:4] 0x00 [7:0] DAC2_CONST[3:0] 保留 0x3 DAC_CST [5:8] DAC_CONST[:4] 0x00 [7:0] DAC_CONST[3:0] 保留 0x32 DAC4_DGAIN [5:8] DAC4_DIG_GAIN[:4] 0x00 [7:0] DAC4_DIG_GAIN[3:0] 保留 0x33 DAC3_DGAIN [5:8] DAC3_DIG_GAIN[:4] 0x00 [7:0] DAC3_DIG_GAIN[3:0] 保留 0x34 DAC2_DGAIN [5:8] DAC2_DIG_GAIN[:4] 0x00 [7:0] DAC2_DIG_GAIN[3:0] 保留 0x35 DAC_DGAIN [5:8] DAC_DIG_GAIN[:4] 0x00 [7:0] DAC_DIG_GAIN[3:0] 保留 0x36 SAW4_3CONFIG [5:8] SAW_STP4 SAW_TYP4 0x00 [7:0] SAW_STP3 SAW_TYP3 0x37 SAW2_CONFIG [5:8] SAW_STP2 SAW_TYP2 0x00 [7:0] SAW_STP SAW_TYP 0x38 to 0x3D RSRVD 保留 0x3 DDS_TW32 [5:8] DDSTW_MSB[5:8] 0x00 [7:0] DDSTW_MSB[7:0] 0x3F DDS_TW [5:8] DDSTW_LSB 0x00 [7:0] 保留 0x40 DDS4_PW [5:8] DDS4_PHAS[5:8] 0x00 [7:0] DDS4_PHAS[7:0] 0x4 DDS3_PW [5:8] DDS3_PHAS[5:8] 0x00 [7:0] DDS3_PHAS[7:0] 0x42 DDS2_PW [5:8] DDS2_PHAS[5:8] 0x00 [7:0] DDS2_PHAS[7:0] 0x43 DDS_PW [5:8] DDS_PHAS[5:8] 0x00 [7:0] DDS_PHAS[7:0] 0x44 TRIG_TW_SL [5:8] RSRVD[3:6] 0x00 [7:0] 保留 [5:0] TRIG_DLAY_N 保留 0x45 DDSx_CONFIG [5:8] DDS_COS_N4 DDS_MSB_N4 保留 DDS_COS_N3 DDS_MSB_N3 保留 0x00 [7:0] DDS_COS_N2 DDS_MSB_N2 保留 DDS_COS_N DDS_MSB_N 保留 TW_MM_N 0x47 TW_RAM _CONFIG [5:8] 保留 保留 0x00 [7:0] 保留 TW_MM_SHIFT Rev. A Page 3 of 48

32 AD906 地址 ( 十 六进制 ) 寄存器名称 位 位 7 位 6 位 5 位 4 位 3 位 2 位 位 0 复位 0x50 START_DLY4 [5:8] START_DLAY4[5:8] 0x00 [7:0] START_DLAY4[7:0] 0x5 START_ADDR4 [5:8] START_ADDR4[:4] 0x00 [7:0] START_ADDR4[3:0] 保留 0x52 STOP_ADDR4 [5:8] STOP_ADDR4[:4] 0x00 [7:0] STOP_ADDR4[3:0] 保留 0x53 DDS_CYC4 [5:8] DDS_CYC4[5:8] 0x000 [7:0] DDS_CYC4[7:0] 0x54 START_DLY3 [5:8] START_DLAY3[5:8] 0x00 [7:0] START_DLAY3[7:0] 0x55 START_ADDR3 [5:8] START_ADDR3[:4] 0x00 [7:0] START_ADDR3[3:0] 保留 0x56 STOP_ADDR3 [5:8] STOP_ADDR3[:4] 0x00 [7:0] STOP_ADDR3[3:0] 保留 0x57 DDS_CYC3 [5:8] DDS_CYC3[5:8] 0x000 [7:0] DDS_CYC3[7:0] 0058 START_DLY2 [5:8] START_DLAY2[5:8] 0x00 [7:0] START_DLAY2[7:0] 0x59 START_ADDR2 [5:8] START_ADDR2[:4] 0x00 [7:0] START_ADDR2[3:0] 保留 0x5A STOP_ADDR2 [5:8] STOP_ADDR2[:4] 0x00 [7:0] STOP_ADDR2[3:0] 保留 0x5B DDS_CYC2 [5:8] DDS_CYC2[5:8] 0x000 [7:0] DDS_CYC2[7:0] 0x5C START_DLY [5:8] START_DLAY[5:8] 0x00 [7:0] START_DLAY[7:0] 0x5D START_ADDR [5:8] START_ADDR[:4] 0x00 [7:0] START_ADDR[3:0] 保留 0x5 STOP_ADDR [5:8] STOP_ADDR[:4] 0x00 [7:0] STOP_ADDR[3:0] 保留 005F DDS_CYC [5:8] DDS_CYC[5:8] 0x000 [7:0] DDS_CYC[7:0] 0060 CFG_RROR [5:8] RROR_CLAR CFG_RROR[8:2] 0x00 R 0x6000 to 0x6FFF [7:0] CFG_RROR[:0] DOUT_START_LG _RR PAT_DLY_SHORT _RR DOUT_START _SHORT_RR PRIOD _SHORT_RR ODD_ADDR _RR MM_RAD _RR SRAM_DATA [5:8] 保留 SRAM_DATA[:8] N/A [7:0] SRAM_DATA[7:0] Rev. A Page 32 of 48

33 AD906 寄存器描述 SPI 控制寄存器 (SPICONFIG, 地址 0x00) 表 5. SPICONFIG 的位功能描述位位域名称设置描述复位访问类型 5 LSBFIRST 选择 LSB 优先 SPI3WIR 选择 SPI 使用 3 线还是 4 线接口 复位 执行 SPI 和控制器的软件复位, 重新加载默认寄存器值, 0 寄存器 0x00 除外 0 正常状态 复位寄存器 0x00 之外的寄存器映射 2 DOUBLSPI 双 SPI 数据线 0 0 此模式仅用于 RAM 数据读取或写入 SPI_DRV SPI 输出的驱动能力加倍 0 0 DOUT_N 符合 SPI 标准的 MSB 优先 ( 默认 ) 符合 SPI 标准的 LSB 优先 4 线 SPI 3 线 SPI SPI 端口只有 条数据线, 可用作 3 线或 4 线接口 SPI 端口有 2 条双向数据线, 定义一个伪双 3 线接口, 其中 CS 和 SCLK 由两个端口共享 0 单倍 SPI 输出驱动能力 双倍 SPI 输出驱动能力 使能 SDO/SDI2/DOUT 引脚的 DOUT 信号 0 SDO/SDI2 功能输入 / 输出 DOUT 功能输出 0 [9:6] 保留 5 DOUT_NM0F 使能 SDO/SDI2/DOUT 引脚的 DOUT 信号 4 SPI_DRVM SPI 输出的驱动能力加倍 0 3 DOUBLSPIM 双 SPI 数据线 0 2 RSTM 执行 SPI 和控制器的软件复位, 重新加载默认寄存器值, 0 寄存器 0x00 除外 SPI3WIRM 选择 SPI 使用 3 线还是 4 线接口 0 RW 0 LSBFIRSTM 选择 LSB 优先 0 SPICONFIG[0:5] 必须设置为 SPICONFIG[5:0] 的镜像, 以便在 LSBFIRST 位设置错误时能够轻松恢复 SPI 操作 Bit[5] = Bit[0], Bit[4] = Bit[],Bit[3] = Bit[2],Bit[2] = Bit[3],Bit[] = Bit[4],Bit[0] = Bit[5] Rev. A Page 33 of 48

34 AD906 电源状态寄存器 (POWRCONFIG, 地址 0x0) 表 6. POWRCONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:2] 保留 0x00 CLK_LDO_STAT 表示 CLKVDD_P8 LDO 开启的只读标志 0 R 0 DIG_LDO_STAT 表示 DVDD LDO 开启的只读标志 0 R 9 DIG2_LDO_STAT 表示 DVDD2 LDO 开启的只读标志 0 R 8 PDN_LDO_CLK 禁用 CLKVDD_P8 LDO 需要外部电源 0 7 PDN_LDO_DIG 禁用 DVDD LDO 需要外部电源 0 6 PDN_LDO_DIG2 禁用 DVDD2 LDO 需要外部电源 0 5 RF_PDN 禁用产生 RFIO 电压的 0 kω 电阻 用户可以利用外部电压驱动 0 或提供外部 BG 电阻 4 RF_XT 关断主 BG 基准电压源, 包括 DAC 偏置 0 3 DAC_SLP 禁用 DAC 输出电流 0 2 DAC2_SLP 禁用 DAC2 输出电流 0 DAC3_SLP 禁用 DAC3 输出电流 0 0 DAC4_SLP 禁用 DAC4 输出电流 0 时钟控制寄存器 (CLOCKCONFIG, 地址 0x02) 表 7. CLOCKCONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:2] 保留 0x000 DIS_CLK 禁用时钟分配模块的 DAC 输出的模拟时钟 0 0 DIS_CLK2 禁用时钟分配模块的 DAC2 输出的模拟时钟 0 9 DIS_CLK3 禁用时钟分配模块的 DAC3 输出的模拟时钟 0 8 DIS_CLK4 禁用时钟分配模块的 DAC4 输出的模拟时钟 0 7 DIS_DCLK 禁用内核数字模块的时钟 0 6 CLK_SLP 使能极低功耗时钟模式 0 5 CLK_PDN 禁用并关断主时钟接收器 器件中无任何时钟有效 0 4 PS 启用省电 (PS) 可使能时钟接收器的低功耗选项, 0 但 DAC 时钟上升沿仍保持低抖动性能 DAC 时钟下降沿的性能明显降低 3 DAC_INV_CLK 使用此位时无法使用 PS 反转 DAC 内核 中的时钟时, 0 DAC 更新时序发生 80 相移 2 DAC2_INV_CLK 使用此位时无法使用 PS 反转 DAC 内核 2 中的时钟时, 0 DAC2 更新时序发生 80 相移 DAC3_INV_CLK 使用此位时无法使用 PS 反转 DAC 内核 3 中的时钟时, 0 DAC3 更新时序发生 80 相移 0 DAC4_INV_CLK 使用此位时无法使用 PS 反转 DAC 内核 4 中的时钟时, 0 DAC4 更新时序发生 80 相移 基准电阻寄存器 (RFADJ, 地址 0x03) 表 8. RFADJ 的位功能描述位位域名称设置描述复位访问类型 [5:6] 保留 0x000 [5:0] BGDR 将 BG 0 kω 电阻 ( 标称值 ) 调整为 8 kω 到 2 kω, 0x00 BG 电压相应地更改为 800 mv 到.2 V Rev. A Page 34 of 48

35 AD906 DAC4 模拟增益寄存器 (DAC4AGAIN, 地址 0x04) 表 9. DAC4AGAIN 的位功能描述位位域名称设置描述复位访问类型 5 保留 0 [4:8] DAC4_GAIN_CAL DAC4 模拟增益校准输出 ; 只读 0x00 R 7 保留 0 [6:0] DAC4_GAIN 非校准模式下的 DAC4 模拟增益控制, 二进制补码 0x00 DAC3 模拟增益寄存器 (DAC3AGAIN, 地址 0x05) 表 20. DAC3AGAIN 的位功能描述位位域名称设置描述复位访问类型 5 保留 0 [4:8] DAC3_GAIN_CAL DAC3 模拟增益校准输出 ; 只读 0x00 R 7 保留 0 [6:0] DAC3_GAIN 非校准模式下的 DAC3 模拟增益控制, 二进制补码 0x00 DAC2 模拟增益寄存器 (DAC2AGAIN, 地址 0x06) 表 2. DAC2AGAIN 的位功能描述位位域名称设置描述复位访问类型 5 保留 0 [4:8] DAC2_GAIN_CAL DAC2 模拟增益校准输出 ; 只读 0x00 R 7 保留 0 [6:0] DAC2_GAIN 非校准模式下的 DAC2 模拟增益控制, 二进制补码 0x00 DAC 模拟增益寄存器 (DACAGAIN, 地址 0x07) 表 22. DACAGAIN 的位功能描述位位域名称设置描述复位访问类型 5 保留 0 [4:8] DAC_GAIN_CAL DAC 模拟增益校准输出 ; 只读 0x00 R 7 保留 0 [6:0] DAC_GAIN 非校准模式下的 DAC 模拟增益控制, 二进制补码 0x00 DAC 模拟增益范围寄存器 (DACxRANG, 地址 0x08) 表 23. DACxRANG 的位功能描述位位域名称设置描述复位访问类型 [5:8] 保留 0x00 [7:6] DAC4_GAIN_RNG DAC4 增益范围控制 0x0 [5:4] DAC3_GAIN_RNG DAC3 增益范围控制 0x0 [3:2] DAC2_GAIN_RNG DAC2 增益范围控制 0x0 [:0] DAC_GAIN_RNG DAC 增益范围控制 0x0 RW Rev. A Page 35 of 48

36 AD906 FSADJ4 寄存器 (DAC4RST, 地址 0x09) 表 24. DAC4RST 的位功能描述位位域名称设置描述复位访问类型 5 DAC4_RST_N 要写入, 使能 DAC4 的内部 R ST 电阻 ; 要读取, 0x00 在校准模式下使能 DAC4 的 R ST [4:3] 保留 0x00 [2:8] DAC4_RST_CAL 校准后 DAC4 的 R ST 电阻的数字控制值 ; 只读 0x00 R [7:5] 保留 0x00 [4:0] DAC4_RST 设置 DAC4 中 R ST 电阻值的数字控制 0x0A FSADJ3 寄存器 (DAC3RST, 地址 0x0A) 表 25. DAC3RST 的位功能描述 5 DAC3_RST_N 要写入, 使能 DAC3 的内部 R ST 电阻 ; 要读取, 0 在校准模式下使能 DAC3 的 R ST [4:3] 保留 0x0 [2:8] DAC3_RST_CAL 校准后 DAC3 的 R ST 电阻的数字控制值 ; 只读 0x00 R [7:5] 保留 0x0 [4:0] DAC3_RST 设置 DAC3 中 R ST 电阻值的数字控制 0x0A FSADJ2 寄存器 (DAC2RST, 地址 0x0B) 表 26. DAC2RST 的位功能描述 5 DAC2_RST_N 要写入, 使能 DAC2 的内部 R ST 电阻 ; 要读取, 0 在校准模式下使能 DAC2 的 R ST [4:3] 保留 0x0 [2:8] DAC2_RST_CAL 校准后 DAC2 的 R ST 电阻的数字控制值 ; 只读 0x00 R [7:5] 保留 0x0 [4:0] DAC2_RST 设置 DAC2 中 R ST 电阻值的数字控制 0xA FSADJ 寄存器 (DACRST, 地址 0x0C) 表 27. DACRST 的位功能描述 5 DAC_RST_N 要写入, 使能 DAC 的内部 R ST 电阻 ; 要读取, 0x00 在校准模式下使能 DAC 的 R ST [4:3] 保留 0x00 [2:8] DAC_RST_CAL 校准后 DAC 的 R ST 电阻的数字控制值 ; 只读 0x00 R [7:5] 保留 0x0 [4:0] DAC_RST 设置 DAC 中 R ST 电阻值的数字控制 0x0A Rev. A Page 36 of 48

37 AD906 校准寄存器 (CALCONFIG, 地址 0x0D) 表 28. CALCONFIG 的位功能描述位位域名称设置描述复位访问类型 5 保留 0 4 COMP_OFFST_OF 补偿失调校准值上溢 0 R 3 COMP_OFFST_UF 补偿失调校准值下溢 0 R 2 RST_CAL_OF R ST 校准值上溢 0 R RST_CAL_UF R ST 校准值下溢 0 R 0 GAIN_CAL_OF 增益校准值上溢 0 R 9 GAIN_CAL_UF 增益校准值下溢 0 R 8 CAL_RST 发送脉冲使此位先变为高电平再变为低电平, 将复位校准结果 0 7 CAL_MOD 表示正在使用校准的只读标志 0 R 6 CAL_MOD_N 使能增益校准电路 0 [5:4] COMP_CAL_RNG 失调校准范围 0x0 3 CAL_CLK_N 使能校准电路的校准时钟 0 [2:0] CAL_CLK_DIV 设置从 DAC 时钟到校准时钟的分频器 0x0 位置变更 补偿失调寄存器 (COMPOFFST, 地址 0x0) 表 29. COMPOFFST 的位功能描述位位域名称设置描述复位访问类型 5 保留 0x00 [4:8] COMP_OFFST_CAL 比较器的失调校准结果 0x00 R [7:2] 保留 0x00 CAL_FIN 表示校准已完成的只读标志 0x00 R 0 START_CAL 启动校准周期 0x00 更新模式寄存器 (RAMUPDAT, 地址 0xD) 表 30. RAMUPDAT 的位功能描述位位的名称设置描述复位访问类型 s [5:] 保留 0x00 0 RAMPUPDAT 用新配置更新所有 SPI 设置 ( 自清零 ) 0 命令 / 状态寄存器 (PAT_STATUS, 地址 0x) 表 3. PAT_STATUS 的位功能描述位位域名称设置描述复位访问类型 [5:4] 保留 0x000 3 BUF_RAD 回读更新缓冲器 0 2 MM_ACCSS 使能存储器 SPI 访问 0 PATTRN 正在播放的模式的状态, 只读 0 R 0 RUN 允许产生模式, 触发后停止模式 0 Rev. A Page 37 of 48

38 AD906 命令 / 状态寄存器 (PAT_TYP, 地址 0xF) 表 32. PAT_TYP 的位功能描述位位域名称设置描述复位访问类型 [5:] 保留 0x PATTRN_RPT 此位置 时, 模式重复 DAC4_3PATx 和 DAC2_PATx 所定义的次数 0 模式连续运行 模式重复 DAC4_3PATx 和 DAC2_PATx 所定义的次数 0 触发开始至真正模式延迟寄存器 (PATTRN_DLY, 地址 0x20) 表 33. PATTRN_DLY 的位功能描述 [5:0] PATTRN_DLAY 触发低电平到模式开始的时间, 表示为 DAC 时钟周期数 + 0x000 DAC4 数字偏移寄存器 (DAC4DOF, 地址 0x22) 表 34. DAC4DOF 的位功能描述 [5:4] DAC4_DIG_OFFST DAC4 数字偏移 0x000 [3:0] 保留 0x00 DAC3 数字偏移寄存器 (DAC3DOF, 地址 0x23) 表 35. DAC3DOF 的位功能描述 [5:4] DAC3_DIG_OFFST DAC3 数字偏移 0x000 [3:0] 保留 0x0 DAC2 数字偏移寄存器 (DAC2DOF, 地址 0x24) 表 36. DAC2DOF 的位功能描述 [5:4] DAC2_DIG_OFFST DAC2 数字偏移 0x000 [3:0] 保留 0x00 DAC 数字偏移寄存器 (DACDOF, 地址 0x25) 表 37. DACDOF 的位功能描述 [5:4] DAC_DIG_OFFST DAC 数字偏移 0x000 [3:0] 保留 0x00 Rev. A Page 38 of 48

39 AD906 Wave3/Wave4 选择寄存器 (WAV4_3CONFIG, 地址 0x26) 表 38. WAV4_3CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:4] 保留 0x00 [3:2] PRSTOR_SL4 0x [:0] 保留 0x00 [9:8] WAV_SL4 0x 0 从 RAM 的 START_ADDR4 到 STOP_ADDR4 部分读取的波形 2 3 预存波形 预存波形, 使用 START_DLAY4 和 PATTRN_PRIOD 预存波形, 由来自 RAM 的波形调制 [7:6] 保留 0x00 [5:4] PRSTOR_SL3 0x DAC4 常数值 MSB/LSB 寄存器中保存的常数值 DAC4 锯齿配置寄存器 (SAW4_3CONFIG) 定义的锯齿 伪随机序列 DDS4 输出 DAC3 常数值 MSB/LSB 寄存器中保存的常数值 DAC3 锯齿配置寄存器 (SAW4_3CONFIG) 定义的锯齿 伪随机序列 DDS3 输出 [3:2] 保留 0x00 [:0] WAV_SL3 0x RW 从 RAM 的 START_ADDR3 到 STOP_ADDR3 部分读取的波形 预存波形 预存波形, 使用 START_DLAY3 和 PATTRN_PRIOD 预存波形, 由来自 RAM 的波形调制 Wave/Wave2 选择寄存器 (WAV2_CONFIG, 地址 0x27) 表 39. WAV2_CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:4] 保留 0x0 [3:2] PRSTOR_SL2 0x MASK_DAC4 屏蔽 DAC4 到 DAC4_CONST 值 0 0 CH2_ADD 增加 DAC2 和 DAC4, 通过 DAC2 输出 0 0 DAC2/DAC4 正常工作 增加 DAC2 和 DAC4, 通过 DAC2 输出 [9:8] WAV_SL2 0x DAC2 常数值 MSB/LSB 寄存器中保存的常数值 DAC2 锯齿配置寄存器 (SAW2_CONFIG) 定义的锯齿 伪随机序列 DDS2 输出 从 RAM 的 START_ADDR2 到 STOP_ADDR2 部分读取的波形 预存波形 预存波形, 使用 START_DLAY2 和 PATTRN_PRIOD 预存波形, 由来自 RAM 的波形调制 [7:6] 保留 0x0 Rev. A Page 39 of 48

40 AD906 [5:4] PRSTOR_SL 0x MASK_DAC3 屏蔽 DAC3 到 DAC3_CONST 值 0 2 CH_ADD 增加 DAC 和 DAC3, 通过 DAC 输出 0 0 DAC/DAC3 正常工作 增加 DAC 和 DAC3, 通过 DAC 输出 在这种 start_delay 情况下, DAC3 输出保持不变 [:0] WAV_SL 0x DAC 常数值 MSB/LSB 寄存器中保存的常数值 DAC 锯齿配置寄存器 (SAW2_CONFIG) 定义的锯齿 伪随机序列 DDS 输出 从 RAM 的 START_ADDR 到 STOP_ADDR 部分读取的波形 预存波形 预存波形, 使用 START_DLAY 和 PATTRN_PRIOD 预存波形, 由来自 RAM 的波形调制 DAC 时间控制寄存器 (PAT_TIMBAS, 地址 0x28) 表 40. PAT_TIMBAS 的位功能描述位位域名称设置描述复位访问类型 [5:2] 保留 0x00 [:8] 保持 DAC 值保持样本的次数 (0 = DAC 保持 个样本 ) 0x [7:4] PAT_PRIOD_BAS 每个 PATTRN_PRIOD LSB 的 DAC 时钟周期数 0x (0 = PATTRN_PRIOD LSB = DAC 时钟周期 ) [3:0] START_DLAY_BAS 每个 START_DLAYx LSB 的 DAC 时钟周期数 0x (0 = START_DLAYx LSB = DAC 时钟周期 ) 模式周期寄存器 (PAT_PRIOD, 地址 0x029) 表 4. PAT_PRIOD 的位功能描述 [5:0] PATTRN_PRIOD 模式周期寄存器 0x8000 DAC3/DAC4 模式重复周期寄存器 (DAC4_3PATx, 地址 0x2A) 表 42. DAC4_3PATx 的位功能描述 [5:8] DAC4_RPAT_CYCL DAC4 模式重复周期数 +,(0 模式重复 次 ) 0x0 [7:0] DAC3_RPAT_CYCL DAC3 模式重复周期数 +,(0 模式重复 次 ) 0x0 DAC/DAC2 模式重复周期寄存器 (DAC2_PATx, 地址 0x2B) 表 43. DAC2_PATx 的位功能描述 [5:8] DAC2_RPAT_CYCL DAC2 模式重复周期数 +,(0 模式重复 次 ) 0x0 [7:0] DAC_RPAT_CYCL DAC 模式重复周期数 +,(0 模式重复 次 ) 0x0 Rev. A Page 40 of 48

41 AD906 触发开始至 DOUT 信号寄存器 (DOUT_START_DLY, 地址 0x2C) 表 44. DOUT_START_DLY 的位功能描述 [5:0] DOUT_START 触发低电平到 DOUT 信号变为高电平的时间, 0x0003 表示为 DAC 时钟周期数 DOUT 配置寄存器 (DOUT_CONFIG, 地址 0x2D) 表 45. DOUT_CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:6] 保留 0x DOUT_VAL 手动设置 DOUT 信号值 ; 仅当 DOUT_MOD = 0( 手动模式 ) 时有效 0 4 DOUT_MOD 0 0x0 0x DOUT 引脚是 SDO/SDI2/DOUT 引脚的输出, 由位 5 手动控制 ; 要使用此功能, 必须将寄存器 0x00 的 DOUT_N 置 DOUT 引脚是 SDO/SDI2/DOUT 的输出 此引脚由 DOUT_START 和 DOUT_STOP 控制 要使用此功能, 必须将寄存器 0x00 的 DOUT_N 置 [3:0] DOUT_STOP 模式结束到 DOUT 信号变为低电平的时间, 表示为 DAC 时钟周期数 0x0 DAC4 常数值寄存器 (DAC4_CST, 地址 0x2) 表 46. DAC4_CST 的位功能描述 [5:4] DAC4_CONST DAC4 常数值的最高有效字节 0x000 [3:0] 保留 0x0 DAC3 常数值寄存器 (DAC3_CST, 地址 0x2F) 表 47. DAC3_CST 的位功能描述 [5:4] DAC3_CONST DAC3 常数值的最高有效字节 0x000 [3:0] 保留 0x0 DAC2 常数值寄存器 (DAC2_CST, 地址 0x30) 表 48. DAC2_CST 的位功能描述 [5:4] DAC2_CONST DAC2 常数值的最高有效字节 0x000 [3:0] 保留 0x0 DAC 常数值寄存器 (DAC_CST, 地址 0x3) 表 49. DAC_CST 的位功能描述 [5:4] DAC_CONST DAC 常数值的最高有效字节 0x000 [3:0] 保留 0x0 Rev. A Page 4 of 48

42 AD906 DAC4 数字增益寄存器 (DAC4_DGAIN, 地址 0x32) 表 50. DAC4_DGAIN 的位功能描述 [5:4] DAC4_DIG_GAIN DAC4 数字增益 范围是 +2 到 2 0x000 [3:0] 保留 0x0 DAC3 数字增益寄存器 (DAC3_DGAIN, 地址 0x33) 表 5. DAC3_DGAIN 的位功能描述 [5:4] DAC3_DIG_GAIN DAC3 数字增益 范围是 +2 到 2 0x000 [3:0] 保留 0x0 DAC2 数字增益寄存器 (DAC2_DGAIN, 地址 0x34) 表 52. DAC2_DGAIN 的位功能描述 [5:4] DAC2_DIG_GAIN DAC2 数字增益 范围是 +2 到 2 0x000 [3:0] 保留 0x0 DAC 数字增益寄存器 (DAC_DGAIN, 地址 0x35) 表 53. DAC_DGAIN 的位功能描述 [5:4] DAC_DIG_GAIN DAC 数字增益 范围是 +2 到 2 0x000 [3:0] 保留 0x0 DAC3/4 锯齿配置寄存器 (SAW4_3CONFIG, 地址 0x36) 表 54. SAW4_3CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:0] SAW_STP4 DAC4 每一步的样本数 0x0 [9:8] SAW_TYP4 DAC4 的锯齿类型 ( 正 负或三角 ) 0x0 0 上斜坡锯齿波 2 下斜坡锯齿波 三角锯齿波 3 无波, 零 [7:2] SAW_STP3 DAC3 每一步的样本数 0x0 [:0] SAW_TYP3 DAC3 的锯齿类型 ( 正 负或三角 ) 0x0 0 上斜坡锯齿波 下斜坡锯齿波 2 三角锯齿波 3 无波, 零 DAC/2 锯齿配置寄存器 (SAW2_CONFIG, 地址 0x37) 表 55. SAW2_CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:0] SAW_STP2 DAC2 每一步的样本数 0x0 [9:8] SAW_TYP2 DAC2 的锯齿类型 ( 正 负或三角 ) 0x0 0 上斜坡锯齿波 下斜坡锯齿波 2 三角锯齿波 3 无波, 零 Rev. A Page 42 of 48

43 AD906 [7:2] SAW_STP DAC 每一步的样本数 0x0 [:0] SAW_TYP DAC 的锯齿类型 ( 正 负或三角 ) 0x 上斜坡锯齿波 下斜坡锯齿波 三角锯齿波 无波, 零 DDS 调谐字 MSB 寄存器 (DDS_TW32, 地址 0x3) 表 56. DDS_TW32 的位功能描述 [5:0] DDSTW_MSB DDS 调谐字 MSB 0x0000 DDS 调谐字 LSB 寄存器 (DDS_TW, 地址 0x3F) 表 57. DDS_TW 的位功能描述 [5:8] DDSTW_LSB DDS 调谐字 LSB 0x00 [7:0] 保留 0x00 DDS4 相位偏移寄存器 (DDS4_PW, 地址 0x40) 表 58. DDS4_PW 的位功能描述 [5:0] DDS4_PHAS DDS4 相位偏移 0x0000 DDS3 相位偏移寄存器 (DDS3_PW, 地址 0x4) 表 59. DDS3_PW 的位功能描述 [5:0] DDS3_PHAS DDS3 相位偏移 0x0000 DDS2 相位偏移寄存器 (DDS2_PW, 地址 0x42) 表 60. DDS2_PW 的位功能描述 [5:0] DDS2_PHAS DDS2 相位偏移 0x0000 DDS 相位偏移寄存器 (DDS_PW, 地址 0x43) 表 6. DDS_PW 的位功能描述 [5:0] DDS_PHAS DDS 相位偏移 0x0000 Rev. A Page 43 of 48

44 AD906 模式控制 寄存器 (TRIG_TW_SL, 地址 0x44) 表 62. TRIG_TW_SL 的位功能描述位位域名称设置描述复位访问类型 [5:2] 保留 0x0000 TRIG_DLAY_N 使能起始延迟作为所有 4 个通道的触发延迟 0 0 对所有模式重复延迟 延迟仅应用于第一个模式的开始 0 保留 0 模式控制 2 寄存器 (DDSx_CONFIG, 地址 0x45) 表 63. DDSx_CONFIG 的位功能描述 5 DDS_COS_N4 使能 DDS 的 DDS4 余弦输出, 而非正弦波 0 4 DDS_MSB_N4 使能 RAM 地址的时钟 递增来自 DDS4 MSB 默认来自 DAC 时钟 0 3 保留 0 2 保留 0 DDS_COS_N3 使能 DDS 的 DDS3 余弦输出, 而非正弦波 0 0 DDS_MSB_N3 使能 RAM 地址的时钟 递增来自 DDS3 MSB 默认来自 DAC 时钟 0 9 PHAS_MM_N3 使能来自 RAM 的 DDS3 相位偏移输入, 使用 START_ADDR3 读取 0 相位字为 8 位,RAM 数据为 4 位, 因此仅考虑 RAM 的 8 个 MSB 默认来自 SPI 映射 DDS3_PHAS 8 保留 0 7 DDS_COS_N2 使能 DDS 的 DDS2 余弦输出, 而非正弦波 0 6 DDS_MSB_N2 使能 RAM 地址的时钟 递增来自 DDS2 MSB 默认来自 DAC 时钟 0 5 保留 0 4 保留 0 3 DDS_COS_N 使能 DDS 的 DDS 余弦输出, 而非正弦波 0 2 DDS_MSB_N 使能 RAM 地址的时钟 递增来自 DDS MSB 默认来自 DAC 时钟 0 RAW 保留 0 RAW 0 TW_MM_N 使能来自 RAM 的 DDS 调谐字输入, 使用 START_ADDR 读取 0 RAW 调谐字为 24 位,RAM 数据为 4 位, 因此 0 位设置为 0, 具体取决于 TW_RAM_CONFIG 寄存器中的 TW_MM_SHIFT 位的值 默认来自 SPI 映射 DDSTW TW_RAM_CONFIG 寄存器 (TW_RAM_CONFIG, 地址 0x47) 表 64. TW_RAM_CONFIG 的位功能描述位位域名称设置描述复位访问类型 [5:5] 保留 0x000 RAW [4:0] TW_MM_SHIFT 要使用此位域,TW_MM_N 必须设置为 0x00 RAW 0x00 0x0 0x02 0x03 0x04 0x05 0x06 DDSTW = {RAM[:0],2'b0} DDSTW = {DDSTW[23],RAM[:0],'b0} DDSTW = {DDSTW[23:22],RAM[:0],0'b0} DDSTW = {DDSTW[23:2],RAM[:0],9'b0} DDSTW = {DDSTW[23:20],RAM[:0],8'b0} DDSTW = {DDSTW[23:9],RAM[:0],7'b0} DDSTW = {DDSTW[23:8],RAM[:0],6'b0} Rev. A Page 44 of 48

45 AD906 0x07 DDSTW = {DDSTW[23:7],RAM[:0],5'b0} 0x08 DDSTW = {DDSTW[23:6],RAM[:0],3'b0} 0x09 DDSTW = {DDSTW[23:5],RAM[:0],4'b0} 0x0A DDSTW = {DDSTW[23:4],RAM[:0],2 b0} 0x0B DDSTW = {DDSTW[23:3],RAM[:0], b0} 0x0C DDSTW = {DDSTW[23:2],RAM[:0]} 0x0D DDSTW = {DDSTW[23:],RAM[:]} 0x0 DDSTW = {DDSTW[23:0],RAM[:2]} 0x0F DDSTW = {DDSTW[23:9],RAM[:3]} 0x0 DDSTW = {DDSTW[23:8],RAM[:4]} x 保留 起始延迟 4 寄存器 (START_DLY4, 地址 0x50) 表 65. START_DLY4 的位功能描述 [5:0] START_DLAY4 DAC4 的起始延迟 0x0000 RAW 起始地址 4 寄存器 (START_ADDR4, 地址 0x5) 表 66. START_ADDR4 的位功能描述 [5:4] START_ADDR4 DAC4 开始读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x00 RAW 停止地址 4 寄存器 (STOP_ADDR4, 地址 0x52) 表 67. STOP_ADDR4 的位功能描述 [5:4] STOP_ADDR4 DAC4 停止读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x00 RAW DDS 周期数 4 寄存器 (DDS_CYC4, 地址 0x53) 表 68. DDS_CYC4 的位功能描述 [5:0] DDS_CYC4 DAC4 输出选择 DDS 预存的具有起始和停止延迟的波形时的 0x000 RAW 正弦波周期数 起始延迟 3 寄存器 (START_DLY3, 地址 0x54) 表 69. START_DLY3 的位功能描述 [5:0] START_DLAY3 DAC3 的起始延迟 0x0000 RAW 起始地址 3 寄存器 (START_ADDR3, 地址 0x55) 表 70. START_ADDR3 的位功能描述 [5:4] START_ADDR3 DAC3 开始读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x0 RAW Rev. A Page 45 of 48

46 AD906 停止地址 3 寄存器 (STOP_ADDR3, 地址 0x56) 表 7. STOP_ADDR3 的位功能描述 [5:4] STOP_ADDR3 DAC3 停止读取波形的 RAM 地址 0x0000 RAW [3:0] 保留 0x0 RAW DDS 周期数 3 寄存器 (DDS_CYC3, 地址 0x57) 表 72. DDS_CYC3 的位功能描述 [5:0] DDS_CYC3 DAC3 输出选择 DDS 预存的具有起始和停止延迟的波形时的 0x000 RAW 正弦波周期数 起始延迟 2 寄存器 (START_DLY2, 地址 0x58) 表 73. START_DLY2 的位功能描述 [5:0] START_DLAY2 DAC2 的起始延迟 0x0000 RAW 起始地址 2 寄存器 (START_ADDR2, 地址 0x59) 表 74. START_ADDR2 的位功能描述 [5:4] START_ADDR2 DAC2 开始读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x0 RAW 停止地址 2 寄存器 (STOP_ADDR2, 地址 0x5A) 表 75. STOP_ADDR2 的位功能描述位位域名称设置描述复位访问类型 [5:4] STOP_ADDR2 DAC2 停止读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x0 RAW DDS 周期数 2 寄存器 (DDS_CYC2, 地址 0x5B) 表 76. DDS_CYC2 的位功能描述 [5:0] DDS_CYC2 DAC2 输出选择 DDS 预存的具有起始和停止延迟的波形时的 0x000 RAW 正弦波周期数 起始延迟 寄存器 (START_DLY, 地址 0x5C) 表 77. START_DLY 的位功能描述 [5:0] START_DLAY DAC 的起始延迟 0x0000 RAW Rev. A Page 46 of 48

47 AD906 起始地址 寄存器 (START_ADDR, 地址 0x5D) 表 78. START_ADDR 的位功能描述 [5:4] START_ADDR DAC 开始读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x0 RAW 停止地址 寄存器 (STOP_ADDR, 地址 0x5) 表 79. STOP_ADDR 的位功能描述 [5:4] STOP_ADDR DAC 停止读取波形的 RAM 地址 0x000 RAW [3:0] 保留 0x0 RAW DDS 周期数 寄存器 (DDS_CYC, 地址 0x5F) 表 80. DDS_CYC 的位功能描述 [5:0] DDS_CYC DAC 输出选择 DDS 预存的具有起始和停止延迟的波形时的 0x000 RAW 正弦波周期数 配置错误寄存器 (CFG_RROR, 地址 0x60) 表 8. CFG_RROR 的位功能描述 5 RROR_CLAR 写入此位将清除所有错误 0 R [4:6] CFG_RROR 0x00 R 5 DOUT_START_LG_RR 当 DOUT_START 值大于模式延迟时, 此错误置位 0 R 4 PAT_DLY_SHORT_RR 当模式延迟值小于默认值时, 此错误置位 0 R 3 DOUT_START_SHORT_RR 当 DOUT_START 值小于默认值时, 此错误置位 0 R 2 PRIOD_SHORT_RR 当周期寄存器设置值小于模式播放周期时, 此错误置位 0 R ODD_ADDR_RR 在触发延迟模式下, 当存储器模式播放长度不均时, 0 R 此错误标志置位 0 MM_RAD_RR 存在存储器读取冲突时, 此错误标志置位 0 R Rev. A Page 47 of 48

48 2F 温度范围 AD906 外形尺寸 PIN INDICATOR SQ BSC XPOSD PAD 32 PIN INDICATOR * SQ SATING PLAN TOP VIW MAX 0.02 NOM COPLANARITY RF BOTTOM VIW MIN FOR PROPR CONNCTION OF TH XPOSD PAD, RFR TO TH PIN CONFIGURATION AND FUNCTION DSCRIPTIONS SCTION OF THIS DATA SHT. *COMPLIANT TO JDC STANDARDS MO-220-WHHD-5 WITH XCPTION TO XPOSD PAD DIMNSION. 图 引脚引脚架构芯片级封装 [LFCSP_WQ] 5 mm 5 mm, 超薄体 (CP-32-2) 尺寸单位 :mm B 订购指南 型号 封装描述 封装选项 AD906BCPZ 40 C 至 +85 C 32 引脚 LFCSP_WQ CP-32-2 AD906BCPZRL7 40 C 至 +85 C 32 引脚 LFCSP_WQ CP-32-2 AD906-BZ 评估板 Z = 符合 RoHS 标准的器件 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D2sc-0-2/3(A) Rev. A Page 48 of 48

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

目录了解高速 DAC 测试和评估... 范围... 动态测试硬件设置... 数据模式发生器 (DPG)... 修订历史... 用于 DAC 基准设置的设备... 3 DPG 下载器软件套件... 3 DAC 时钟信号源... 7 频谱分析仪... 7 数字万用表... 7 电源... 7 交流测试定

目录了解高速 DAC 测试和评估... 范围... 动态测试硬件设置... 数据模式发生器 (DPG)... 修订历史... 用于 DAC 基准设置的设备... 3 DPG 下载器软件套件... 3 DAC 时钟信号源... 7 频谱分析仪... 7 数字万用表... 7 电源... 7 交流测试定 应用笔记 One Technology Way P.O. Box 906 Norwood, MA 006-906, U.S.A. Tel: 78.39.4700 Fax: 78.46.33 www.analog.com 了解高速 DAC 测试和评估 作者 :Justin Munson 范围本应用笔记介绍 ADI 公司高速转换器组表征高速数模转换 DPG 提供最高 5 MB 的 RAM, 以便产生复杂波形

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

目录 特性...1 应用...1 功能框图...1 产品聚焦...1 修订历史...2 概述...3 技术规格...4 ADC 直流规格...4 ADC 交流规格...6 数字规格...7 开关规格...9 时序规格...1 绝对最大额定值...12 热特性...12 ESD 警告...12 引脚配

目录 特性...1 应用...1 功能框图...1 产品聚焦...1 修订历史...2 概述...3 技术规格...4 ADC 直流规格...4 ADC 交流规格...6 数字规格...7 开关规格...9 时序规格...1 绝对最大额定值...12 热特性...12 ESD 警告...12 引脚配 16 位 8 MSPS/15 MSPS/125 MSPS 1.8 V 双通道模数转换器 (ADC) 特性信噪比 (SNR):78.2 dbfs(7 MHz 125 MSPS) 无杂散动态范围 (SFDR):88 dbc(7 MHz 125 MSPS) 低功耗 :75 mw (125 MSPS) 1.8 V 模拟电源供电 1.8 V CMOS 或 LVDS 输出供电 1 至 8 整数输入时钟分频器中频采样频率达

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 ( 支持

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

技术参数 型号 SDG5162 SDG5122 SDG5082 最高输出频率 160MHz 120MHz 80MHz 输出通道数 2 采样率 500 MSa/s 任意波长度 CH1:16 kpts CH2:512 kpts 频率分辨率 1 μhz 垂直分辨率 14 bit 波形 正弦波 方波 三角波

技术参数 型号 SDG5162 SDG5122 SDG5082 最高输出频率 160MHz 120MHz 80MHz 输出通道数 2 采样率 500 MSa/s 任意波长度 CH1:16 kpts CH2:512 kpts 频率分辨率 1 μhz 垂直分辨率 14 bit 波形 正弦波 方波 三角波 数据手册 SDG5000 系列函数 / 任意波形发生器 主要特性 采用先进的 DDS 技术, 双通道输出, 500MSa/s 采样率,14bit 垂直分辨率 2ppm 高频率稳定度,-116dBc/Hz 低相位噪声 (SSB) 具备优越的信号保真度,512K 点波形长度, 可输出复杂的任意波形, 可更精确的显示客户定义的信号 采用独特的 EasyPulse 技术, 可输出低抖动 快速上升沿 / 下降沿

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

XX部文件

XX部文件 RIGOL 数据手册 DG2000 系列函数 / 任意波形发生器 DG2041A,DG2021A 产品综述 RIGOL DG2000 系列函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 (

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

CHCN.p65

CHCN.p65 Agilent 33210A 10 MHz 函数 / 任意发生器 技术资料 10 MHz 正弦波和方波 脉冲, 斜波, 三角波, 噪声和直流 可选 14-bit,50 MSa/s,8 K 点任意 AM,FM,PWM 调制类型线性和对数扫描及突波工作模式幅度范围为 10 mvpp 至 10 Vpp 图形化显示界面易于信号设置和验证 USB GPIB 和 LAN 接口完全符合 LXI C 类规范 价格合理,

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

Microsoft Word - HTN7G21P160H_V1.0.docx

Microsoft Word - HTN7G21P160H_V1.0.docx LDMOS 射频功率晶体管 1. 产品特性 为通信基站应用设计开发的宽带射频功率晶体管 为适应 Doherty 类功放应用增强了负栅压极限 提供 VBW 改善外接引脚 为适应预失真系统的优化设计 方便功放设计的内匹配设计 增强鲁棒性设计 优异的热稳定性 符合 ROHS 规范 HTN7G21P160H 封装 :H2110S-6L 2. 产品应用 GSM EDGE CDMA W-CDMA TD-SDMA

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2 完整的四通道 2/4/6 位 串行输入 单极性 / 双极性 电压输出 DAC AD5724R/AD5734R/AD5754R 特性完整的四通道 2/4/6 位 DAC 采用单电源 / 双电源供电软件可编程输出范围 +5 V + V +.8 V ±5 V ± V ±.8 V 积分非线性 (INL) 误差 :±6 LSB( 最大值 ); 微分非线性 (DNL) 误差 :± LSB( 最大值 ) 总不可调整误差

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告...

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告... 集成 ADC DAC 温度传感器和 GPIO 的 0 位监控和控制系统 AD7292 产品特性 0 位 SAR ADC 8 个多路复用模拟输入通道单端工作模式差分工作模式 5 V 模拟输入范围 V REF 2 V REF 或 4 V REF 输入范围输入以 A GND 或 V DD 为参考进行测量 4 个单调 0 位 5 V DAC 建立时间 :2 µs 上电复位至 0 V 吸电流与源电流 :0 ma

More information

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作 6 位 串行输入 环路供电 4 ma 至 2 ma DAC AD542 产品特性 6 位分辨率和单调性引脚可选的 NAMUR 兼容范围 4 ma 至 2 ma.8 ma 至 2 ma.2 ma 至 24 ma NAMUR 兼容报警电流下限报警电流 =.2 ma 上限报警电流 = 22.8 ma/24 ma 总不可调整误差 (TUE):.5%( 最大值 ) 积分非线性 (INL) 误差 :.5% FSR(

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

XX部文件

XX部文件 RIGOL 数据手册 DG2000 系列函数 / 任意波形发生器 DG2041A,DG2021A 产品综述 RIGOL DG2000 系列函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 (

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

文件编号

文件编号 DATA SHEET 高清视频编码电路 2015.01 成都振芯科技股份有限公司 高清晰视频编码电路 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 01 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :http://www.corpro.cn

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

第五章

第五章 湯 顯 祖 牡 丹 亭 及 晚 明 時 期 改 作 與 仿 作 之 研 究 - 185 - 第 五 章 牡 丹 亭 於 晚 明 時 期 之 仿 作 牡 丹 亭 自 萬 曆 二 十 六 年 (1598A.D.) 完 成 之 後, 所 引 起 的 迴 響 不 僅 是 晚 明 文 人 為 了 演 出 需 求 之 改 編, 圍 繞 著 湯 顯 祖 及 其 劇 作 所 展 開 的, 還 有 後 世 學 者 爭

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - SDG1000数据手册V1.3版本_排版_.doc

Microsoft Word - SDG1000数据手册V1.3版本_排版_.doc SDG1000 系列函数/任意波形发生器数据手册 SDG1000 系列函数/任意波形发生器 数据手册 采用先进的 DDS 技术 双通道输出 125Msa/s 采样率 14bit 垂直分辨率 输出 5 种标准波形 内置 48 种任意波形 丰富的调制功能 AM DSB-AM FM PM FSK ASK PWM 以及输出线性/ 对数扫描和脉冲串波形 丰富的输入输出 波形输出 同步信号 输出 外接调制源 外接基准

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

SIGLENT

SIGLENT SDG1000 系列函数 / 任意波形发生器 数据手册 采用先进的 DDS 技术, 双通道输出, 125MSa/s 采样率,14bit 垂直分辨率 输出 5 种标准波形, 内置 46 种任意波形 ( 包括 DC) 丰富的调制功能 :AM DSB-AM FM PM FSK ASK PWM 以及输出线性 / 对数扫描和脉冲串波形 丰富的输入输出 : 波形输出, 同步信号输出, 外接调制源, 外接基准 10MHz

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

AT9280CH

AT9280CH 芯片描述 是单芯片 单电源 8bit 32MSPS 模数转换器 ; 内部集成了采样保持放大器和电源基准源 使用多级差分流水线架构保证了 32MSPS 数据转换数率下全温度范围内无失码 的输入适合图像视频和通信系统应用 ; 用户可以根据实际需要选择单端输入或者差分输入, 也可根据需要选择输入范围和消除失调 内部集成的采样保持放大器, 既适合复用系统又适合开关全波电压范围的连续信道, 采样单信道输入频率可以超过奈奎斯特频率

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.6 12 位 120MSPS 数模转换器 产品使用手册 产品型号 :B9762 0 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2013.8 1.1 2014.9 第六章 增加 6.1 节 质量等级及执行标准 增加 6.3 节 贮存条件 1.2 2016.9 第七章增加 3.3V 工作电压下动态参数 1.3 2018.2 更改模板 1.4 2018.4 第九章增加 CLCC

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0 CMOS 0 MHz 三通道 8 位高速视频 DAC ADV75 特性吞吐量 :0 MSPS 三个 8 位 DAC RS-4A/RS-70 兼容输出互补输出 DAC 输出电流范围 :.0 ma 至.5 ma TTL 兼容输入.5 V 内部基准电压源 +5 V/+. V 单电源供电 48 引脚 LQFP 和 LFCSP 封装低功耗 :0 mw( 最小值, V) 低功耗 ( 待机模式 ): mw( 典型值,

More information

目录 产品特性...1 应用...1 典型应用电路...1 概述...1 技术规格...3 调节输出规格...4 电气特性, SY = ±5...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 详细功能框图...9 典型应用电路...10 工厂编程选项..

目录 产品特性...1 应用...1 典型应用电路...1 概述...1 技术规格...3 调节输出规格...4 电气特性, SY = ±5...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 详细功能框图...9 典型应用电路...10 工厂编程选项.. 集成 MPPT 和充电管理功能的超低功耗能量采集器 PMU ADP5091/ADP5092 产品特性升压调节器集成最大功率点跟踪 (MPPT) 功能, 动态检测或非检测两种模式迟滞模式可实现最佳超轻负载效率 450 na 超低静态电流 (CBP MINOP) 360 na 超低静态电流 (CBP < MINOP) 输入电压范围 :80 m 至 3.3 通过电荷泵实现 380 m( 典型值 ) 快速冷启动基于输入开路电压

More information

2 Keysight 33210A 10 MHz 函数 / 任意波形发生器 - 技术资料 价格合理, 性能优异 Keysight 33210A 函数 / 任意波形发生器是 332XX 产品家族的最新成员 它用直接数字合成 (DDS) 技术生成稳定 精确和低失真正弦波信号, 以及具有快速上升和下降时间

2 Keysight 33210A 10 MHz 函数 / 任意波形发生器 - 技术资料 价格合理, 性能优异 Keysight 33210A 函数 / 任意波形发生器是 332XX 产品家族的最新成员 它用直接数字合成 (DDS) 技术生成稳定 精确和低失真正弦波信号, 以及具有快速上升和下降时间 Keysight 33210A 10 MHz 函数 / 任意波形发生器 技术资料 10 MHz 正弦波和方波 脉冲 斜波 三角波 噪声和直流波形 提供 14 位 50 MSa/s 8 K 点任意波形发生器选件 AM FM 和 PWM 调制类型 线性和对数扫描以及猝发脉冲 幅度范围为 10 mvpp 至 10 Vpp 图形模式便于观察验证信号设置 含有 USB GPIB 和 LAN 接口 完全符合 LXI

More information

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转 8 通道 1 MSPS 12 位 SAR ADC, 内置温度传感器 AD7298 特性 12 位 SAR ADC 8 路单端输入通道序列器功能高吞吐速率 :1 MSPS 模拟输入范围 :0 V 至 2.5 V 12 位温度数字转换器温度传感器精度 :±1 C 温度范围 : 40 C 至 +125 C 额定电压 (V DD):2.8 V 至 3.6 V 逻辑电压 (V DRIVE):1.65 V 至

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

AD54/AD542 目录 特性... 应用... 概述... 配套产品... 功能框图... 修订历史... 2 技术规格... 交流工作特性... 5 时序特性... 5 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数... 术语... 5 工作原理

AD54/AD542 目录 特性... 应用... 概述... 配套产品... 功能框图... 修订历史... 2 技术规格... 交流工作特性... 5 时序特性... 5 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 典型性能参数... 术语... 5 工作原理 单通道 2/6 位 串行输入 4 ma 至 2 ma 电流源 DAC AD54/AD542 特性 2/6 位分辨率和单调性电流输出范围 :4 ma 至 2 ma ma 至 2 ma 或 ma 至 24 ma 总非调整误差 (TUE):±.% FSR( 典型值 ) 输出温漂 :± ppm/ C( 典型值 ) 灵活的串行数字接口片内输出故障检测片内基准电压源 ( 最大温漂 ppm/ C) 输出电流的反馈和监控异步清零功能电源

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1 3 GHz HDMI 2:2 交叉点收发器集成屏幕显示 ADV7626 产品特性 2 路输入 2 路输出交叉点 HDMI 收发器支持 HDMI 支持 3 GHz 视频 ( 高达 4k 2k) 音频回授通道 (ARC) 支持 3D 电视内容类型位兼容 CEC 1.4 扩展色度基于字符和图标的屏幕显示 (OSD) 3D OSD 叠加于所有强制性 3D 格式支持 OSD 叠加于 3 GHz 视频格式高带宽数字内容保护

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information