河北省2016年普通专科接本科教育考试《电子技术基础》考试大纲及模拟试卷

Size: px
Start display at page:

Download "河北省2016年普通专科接本科教育考试《电子技术基础》考试大纲及模拟试卷"

Transcription

1 河北省普通高校专科接本科教育考试 通信工程专业考试说明 第一部分 : 电子技术基础 Ⅰ. 课程简介 一 内容概述与总要求电子技术基础考试是为招收通信工程专业专科接本科学生而实施的入学考试 电子技术基础考试内容包括模拟电子技术部分和数字电子技术部分 模拟电子技术部分的内容包括常用半导体器件 基本放大电路和多级放大电路 集成运算放大电路及其应用 负反馈放大电路 波形的发生 功率放大电路和直流电源 数字电子技术部分的内容包括数制和码制 逻辑代数基础 门电路 组合逻辑电路 触发器 时序逻辑电路 脉冲波形的产生和整形 考生应掌握涉及以上内容的基本概念 基本理论 基本分析方法和设计方法 ; 注意各部分知识的结构及知识的内在联系 ; 注重应用能力的培养, 能运用基本概念 基本理论和基本方法对基础电子电路进行分析和设计 二 考试形式与试卷结构考试采用闭卷 笔试形式, 全卷满分为 150 分, 考试时间为 75 分钟 试卷包括填空题 选择题 分析计算题和设计题等 选择题是四选一型的单项选择题 ; 填空题只要求直接填写结果, 不必写出计算过程 ; 分析计算题和设计题均应写出必要步骤 选择题和填空题分值合计为 60 分 其余类型题目分值合计为 90 分 电子技术基础中模拟电子技术基础与数字电子技术基础试题的分值比例约为 1:1 Ⅱ. 知识要点与考核要求模拟电子技术基础部分 一 常用半导体器件 ( 一 ) 半导体基础知识 1. 知识范围本征半导体的概念及本征半导体中的载流子 杂质半导体的载流子特点 PN 结的形成过程以及 1

2 PN 结的导电特性 (1) 理解本征半导体的概念 本征半导体的载流子类型及导电原理 (2) 掌握杂质半导体载流子数量的特点 : 如 P 型半导体多数载流子为空穴, 少数载流子为自由电子等 (3) 了解 PN 结的形成过程 (4) 掌握 PN 结的单向导电特性 ( 二 ) 半导体二极管 1. 知识范围半导体二极管的结构和单向导电特性 二极管的符号 二极管的伏安特性曲线 二极管的主要参数 二极管理想电路模型和考虑正向导通压降的工作特点 二极管的应用 稳压管的伏安特性和符号 (1) 认识并会画二极管的符号 (2) 会利用二极管的伏安特性曲线分析二极管的工作状态以及二极管的质量 (3) 了解二极管的参数 (4) 掌握二极管理想电路模型的工作特点 : 正向导通二极管两端的电压为零, 反向截止通过二极管的电流为零 ; 掌握考虑正向导通压降的工作特点 : 正向导通二极管两端的电压为导通压降, 反向截止通过二极管的电流为零 (5) 了解二极管的应用 (6) 掌握稳压管的伏安特性和符号 ( 三 ) 晶体管 1. 知识范围晶体管的结构和符号 晶体管工作在放大状态时三个极电流关系 晶体管的输入 输出特性曲线及晶体管三个工作区的工作特点 晶体管的主要参数 (1) 认识并会画晶体管的符号 (2) 掌握晶体管工作在放大状态时的三个极电流关系 (3) 利用晶体管的输出特性曲线分析晶体管的三个工作区及三个工作区晶体管两个 PN 结工作特点, 利用晶体管两个 PN 结的工作情况会分析晶体管的工作状态 2

3 (4) 了解晶体管的主要参数 : 认识电流放大倍数的含义以及极限参数对晶体管选择的影响 二 基本放大电路 ( 一 ) 基本放大电路的工作原理 1. 知识范围放大电路中各元器件的作用 放大电路直流通路和交流通路 放大电路的工作原理 放大电路的组成原则 (1) 掌握放大电路中各元件的作用 (2) 掌握放大电路直流通路和交流通路的画法 (3) 理解放大电路的工作原理, 认识静态分析的物理量及目的, 动态分析的电路参数及现象 (4) 掌握放大电路的组成原则 : 保证放大电路有合适的静态工作点, 同时有合理的交流通路 ( 二 ) 放大电路的分析方法 1. 知识范围图解法 等效电路法 (1) 了解图解法静态分析和动态分析, 理解直流负载线的画法及静态工作点不合适引起的失真现象 (2) 掌握晶体管的简化微变等效电路, 掌握放大电路的等效电路画法及利用等效电路分析 计算放大电路的动态参数 ( 三 ) 静态工作点稳定电路 1. 知识范围电路组成 静态工作点的计算 动态分析 (1) 掌握电路中各元件的作用 (2) 掌握静态工作点稳定电路静态工作点的计算方法 (3) 掌握静态工作点稳定电路微变等效电路的画法及动态参数的计算方法 ( 四 ) 晶体管单管放大电路的三种基本接法 1. 知识范围基本共集放大电路 基本共基放大电路 3

4 (1) 掌握基本共集放大电路的静态分析 ( 会计算静态工作点 ) 和动态分析 ( 会画微变等效电路 会计算动态参数 ), 通过动态参数的分析掌握共集放大电路的输入电阻 输出电阻和放大倍数的特点及共集放大电路的应用 (2) 了解共基放大电路的静态分析和动态分析 ( 五 ) 多级放大电路 1. 知识范围多级放大电路的耦合方式 多级放大电路的分析 (1) 了解多级放大电路的耦合方式及相应耦合方式具有的优 缺点 (2) 掌握多级放大电路的静态分析 ( 会计算各级放大电路静态工作点 ) 和动态分析 ( 会画微变等效电路 会计算动态参数 ) 三 集成运算放大电路及其应用 ( 一 ) 差分放大电路 1. 知识范围零点漂移现象, 长尾式差分放大电路的组成 静态分析和动态分析, 差分放大电路的四种接法及各种电路的静态 动态分析 (1) 了解零点漂移现象的概念以及产生零点漂移现象的原因 (2) 掌握长尾式差分放大电路的组成及电路特点 引入差分放大电路的目的, 掌握差分放大电路的静态分析方法和动态分析方法 (3) 掌握差分放大电路的四种接法及各种电路的静态 动态分析 ( 二 ) 集成运算放大电路及其应用 1. 知识范围集成运算放大电路在理想情况时工作在线性区的特点 基本运算电路 (1) 掌握集成运算放大电路在理想情况时工作在线性区的特点 : 虚短和虚断 (2) 掌握反相比例运算电路输入 输出的关系及电路特点 (3) 掌握同相比例运算电路输入 输出的关系及电路特点 (4) 掌握反向求和 同相求和及加减运算电路输入 输出的关系, 掌握加减运算电路的设计 (5) 理解积分运算电路输入 输出的关系及输入输出波形的关系 4

5 (6) 了解微分运算电路输入 输出的关系及输入输出波形的关系 四 负反馈放大电路 ( 一 ) 反馈的基本概念及其判断 1. 知识范围反馈的基本概念 反馈放大电路的组成 反馈的分类 反馈有无的判断 正负反馈的判断 交直流反馈的判断 负反馈放大电路的基本组态和判断 (1) 了解反馈的概念, 理解反馈的组成及反馈的分类 (2) 掌握电路有无反馈的判断, 掌握正负反馈的判断 交直流反馈的判断 (3) 掌握负反馈放大电路的四种基本组态和判断 ( 二 ) 深度负反馈放大电路放大倍数的分析 1. 知识范围负反馈放大电路的一般表达式 深度负反馈的实质 深度负反馈放大电路放大倍数及计算方法 (1) 理解负反馈放大电路的一般表达式 (2) 掌握深度负反馈的实质 (3) 掌握不同组态放大电路深度负反馈放大倍数的计算方法 ( 三 ) 负反馈对放大电路性能的影响 1. 知识范围负反馈对放大电路性能的影响 : 减小非线性失真, 提高放大倍数的稳定性, 改变输入 输出电阻, 展宽通频带等 放大电路引入负反馈的一般原则 (1) 掌握负反馈对放大电路性能的影响 (2) 掌握放大电路引入负反馈的一般原则 五 信号发生电路 ( 一 ) 正弦波振荡电路 1. 知识范围产生正弦波振荡的条件 正弦波振荡电路的组成 判断电路能否产生正弦波振荡的方法和步骤 RC 正弦波振荡电路 LC 正弦波振荡电路 5

6 (1) 了解产生正弦波振荡的条件 (2) 了解正弦波振荡电路的组成 (3) 掌握判断电路能否产生正弦波振荡的方法和步骤 (4) 掌握 RC 正弦波振荡电路的组成及电路的连接特点 振荡频率的计算 反馈电阻或集成运放反相输入端电阻的选择计算方法 (5) 掌握判断 LC 正弦波振荡电路能否产生正弦波振荡的方法 ( 二 ) 非正弦波振荡电路 1. 知识范围电压比较器 电压比较器的电压传输特性 矩形波发生电路 (1) 掌握电压比较器的三要素分析及计算方法 (2) 掌握电压比较器的电压传输特性画法 (3) 了解矩形波发生电路组成和工作原理 六 功率放大电路 ( 一 ) 功率放大电路概述 1. 知识范围功率放大电路的特点 功率放大电路的类型 功率放大电路的主要指标 (1) 了解功率放大电路的特点 (2) 理解功率放大电路的类型 (3) 掌握功率放大电路的主要指标 ( 二 ) 功率放大电路的分析 1. 知识范围甲类功率放大电路 乙类功率放大电路 消除交越失真的功率放大电路 OCL 功率放大电路静态分析 动态最大输出功率及转换效率的计算,OTL 功率放大电路静态分析 动态最大输出功率及转换效率的计算, 功率放大电路中晶体管的选择 (1) 了解甲类功率放大电路 乙类功率放大电路的工作特点 (2) 掌握消除交越失真的功率放大电路中各元件的作用 (3) 掌握 OCL 功率放大电路静态分析 动态最大输出功率及转换效率的计算 6

7 (4) 掌握 OTL 功率放大电路静态分析 动态最大输出功率及转换效率的计算 七 直流稳压电源 ( 一 ) 直流稳压电源的组成和各部分作用 1. 知识范围直流稳压电源的组成和各部分作用 理解直流稳压电源的组成和各部分作用 ( 二 ) 单相整流电路 1. 知识范围单相半波整流电路 单相桥式全波整流电路 (1) 掌握半波整流电路输出电压平均值和输出电流平均值的计算公式 了解电路的工作原理及波形分析 (2) 掌握桥式全波整流电路输出电压平均值和输出电流平均值的计算公式 了解电路的工作原理及波形分析 ( 三 ) 滤波电路 1. 知识范围电路组成 工作原理 主要参数 (1) 了解电路组成及电路的工作原理 (2) 掌握电容滤波电路输出电压平均值的计算公式及输出电流平均值的计算公式 ( 四 ) 稳压电路 1. 知识范围稳压二极管稳压电路 串联型稳压电路 (1) 了解稳压二极管稳压电路的组成 (2) 掌握各种串联型稳压电源的组成及各部分的组成元件 (3) 掌握各种串联型稳压电源输出电压最大值 最小值的计算方法 7

8 数字电子技术基础部分 一 数制与码制 1. 知识范围常用数制及其构成方法 不同数制之间的转换 二进制算术运算 几种常用的编码 (1) 理解十进制 二进制 八进制和十六进制的构成方法, 掌握不同数制间的相互转换 (2) 了解二进制的算术运算的特点 (3) 掌握原码 反码 补码的概念 (4) 掌握 8421BCD 码的构成, 了解其他二 - 十进制编码的构成, 了解格雷码 ASCII 码的构成 二 逻辑代数基础 ( 一 ) 逻辑代数的公式和定理 1. 知识范围逻辑变量的含义 逻辑代数的基本公式和常用公式 逻辑代数的三个基本定理 (1) 理解逻辑变量的含义 (2) 掌握三种基本逻辑运算和五种常用逻辑运算的逻辑符号 逻辑式和真值表 (3) 掌握逻辑代数的基本公式和常用公式 (4) 了解逻辑代数的三个基本定理 ( 二 ) 逻辑函数的表示方法和化简方法 1. 知识范围逻辑函数的表示方法, 公式化简法, 卡诺图化简法, 具有无关项的逻辑函数及其化简方法 (1) 理解逻辑函数的几种表示方法 : 真值表 逻辑式 逻辑图和波形图 (2) 掌握逻辑函数的几种表示方法之间的转换 (3) 掌握最小项的概念以及把逻辑函数化成最小项之和的方法 (4) 掌握逻辑函数的公式化简法 (5) 掌握逻辑函数的卡诺图表示法和卡诺图化简法 (6) 理解约束项和无关项的概念, 掌握具有无关项的逻辑函数的卡诺图化简法 三 门电路 8

9 1. 知识范围门电路的分类 CMOS 门电路 TTL 门电路 (1) 了解集成电路按制造工艺的分类和按集成度的分类 (2) 了解二极管 三极管和场效应管的开关特性 (3) 理解 CMOS 门电路和 TTL 门电路的输入特性和输出特性 (4) 掌握 OC 门 OD 门 三态门和 CMOS 传输门的逻辑符号和用法 四 组合逻辑电路 ( 一 ) 组合逻辑电路的分析方法和设计方法 1. 知识范围组合逻辑电路的特点, 组合逻辑电路的分析方法和设计方法 (1) 理解组合逻辑电路的特点 (2) 掌握组合逻辑电路的分析方法 (3) 掌握组合逻辑电路的设计方法 ( 二 ) 常用的组合逻辑电路 1. 知识范围编码器 译码器 数据选择器 加法器 数值比较器 (1) 理解编码的概念, 了解编码器和优先编码器的不同 (2) 理解优先编码器 74HC148 的应用 (3) 理解译码器的概念和 3-8 译码器 74HC138 的基本功能, 掌握用 74HC138 实现任意三变量组合逻辑函数的方法 (4) 理解数据选择器的概念和 74HC153 的基本功能, 掌握用 74HC153 实现任意三变量组合逻辑函数的方法 (5) 了解编码器 译码器和数据选择器的内部电路 (6) 了解加法器和数值比较器的基本功能 (7) 了解组合逻辑电路中的竞争 - 冒险现象 五 触发器 1. 知识范围 9

10 触发器的概念 触发器按触发方式的分类 三种触发方式的触发器的特性表和动作特点 触发器的特性方程 (1) 理解触发器的概念 (2) 掌握电平触发的触发器 脉冲触发的触发器 边沿触发的触发器的特性表和动作特点, 了解不同触发器的内部电路 (3) 掌握根据触发器的输入波形画输出波形 (4) 掌握 SR 触发器 D 触发器 JK 触发器和 T 触发器的特性方程 六 时序逻辑电路 ( 一 ) 同步时序电路的分析与设计 1. 知识范围时序电路的特点, 时序电路的分类, 同步时序电路的分析与设计 (1) 理解时序逻辑电路的特点和分类 (2) 掌握同步时序电路的分析方法 (3) 掌握同步时序电路的设计方法 (4) 了解异步时序电路的分析方法和设计方法 ( 二 ) 常用的时序逻辑电路 1. 知识范围寄存器 移位寄存器 计数器 (1) 了解寄存器 移位寄存器 计数器的内部电路和工作原理 (2) 理解寄存器和移位寄存器的概念, 理解移位寄存器 74LS194 的功能表和基本应用 (3) 理解计数器的概念和分类 (4) 掌握典型计数器芯片 74LS160 和 74LS161 的基本应用 (5) 掌握用 74LS160 和 74LS161 构成任意进制计数器的方法 (4) 了解用计数器和组合逻辑电路构成的顺序脉冲发生器和序列信号发生器 七 波形的产生和整形 ( 一 ) 施密特触发器 单稳态触发器和多谐振荡器 1. 知识范围 10

11 施密特触发器 单稳态触发器和多谐振荡器的概念和常用电路 (1) 理解施密特触发器 单稳态触发器和多谐振荡器的概念 (2) 了解由门电路构成的施密特触发器 单稳态触发器和多谐振荡器 (3) 了解集成施密特触发器和单稳态触发器的基本应用 ( 二 )555 定时器及应用 1. 知识范围 555 定时器的电路结构与功能,555 定时器构成的施密特触发器 单稳态触发器和多谐振荡器 (1) 理解 555 定时器的电路结构与功能表 (2) 掌握 555 定时器构成的施密特触发器电路及阈值电压和回差电压的计算 (3) 掌握 555 定时器构成的单稳态电路及脉冲宽度的计算 (3) 掌握 555 定时器构成的多谐振荡器及周期 频率和占空比的计算 11

12 Ⅲ. 模拟试卷及参考答案河北省普通高校专科接本科教育考试电子技术基础模拟试卷 ( 考试时间 :75 分钟 ) ( 总分 : 150 分 ) 说明 : 请在答题纸的相应位置上作答, 在其它位置上作答的无效 一 填空题 ( 本大题共 10 个空, 每空 2 分, 共 20 分 请在答题纸的相应位置上作答 ) 1. N 型半导体中少数载流子是 ( ) 2. 积分运算电路可以把方波转换成 ( ) 波 3. 在反馈电路中, 通常利用 ( ) 法来判断反馈的正负 4. 差分电路的差模信号是两个输入信号的 ( ) 5. 放大电路的输出电阻越 ( ), 则表明放大电路的带负载能力越强 6.(6A)16=( )10 7. TTL 三态门输出有三种状态 : 高电平 低电平和 ( ) 8. 一组逻辑变量的全部最小项之和恒等于 ( ) 9. SR JK D 和 T 四种触发器中, 唯有 ( ) 触发器存在输入信号的约束条件 10. 单稳态触发器的两个状态分别是稳态和 ( ) 二 单项选择题 ( 本大题共 20 小题, 每小题 2 分, 共 40 分 在每小题给出的四个备选项中, 选出 一个正确的答案, 并将所选项前的字母填写在答题纸的相应位置上 ) 1. 处于放大状态的三极管中三个电极电流的关系为 A. IC>IB>IE B. IB>IC>IE C. IC>IE>IB D. IE>IC>IB 2. 理想二极管加正向电压时, 二极管两端的电压为 A.0 B. 无穷大 C.<0 D.>0 3. 选用差分放大电路的原因是 A. 稳定放大倍数 B. 克服温漂 C. 减小输入电阻 D. 提高输出电阻 4. 在单相桥式整流电路中, 若有一只整流管虚焊, 则 A. 输出电压不变 B. 变为半波整流 C. 整流管烧坏 D. 无影响 12

13 5. 在输入量不变的情况下, 若引入反馈后, 则说明引入的反馈是负反馈 A. 输入电阻增大 B. 输出量增大 C. 净输入量增大 D. 净输入量减小 6. 功率放大电路的最大输出功率是在输入电压为正弦波时, 输出基本不失真情况下, 负载上可 能获得的最大 A. 瞬时功率 B. 直流功率 C. 交流功率 D. 平均功率 7. 要实现输入电阻大 输出电流稳定应选择 负反馈 A. 电压串联 B. 电流串联 C. 电压并联 D. 电流并联 8. 用直流电压表测得放大电路中某三极管各极电位分别是 2V 6V 2.2V, 则三个电极分别 是 A.(B C E) B.(C B E) C.(E C B) D.(E B C) 9. 晶体管工作在放大状态时, 发射结 集电结的工作情况为 A. 发射结正向偏置 发射结反向偏置 B. 发射结反向偏置 发射结正向偏置 C. 发射结正向偏置 发射结正向偏置 D. 发射结反向偏置 发射结反向偏置 10. 正弦波振荡电路必须包含放大电路 正反馈网络 和稳幅环节四部分功能电路 A. 整流电路 B. 调整电路 C. 取样电路 D. 选频网络 11. 在逻辑运算中,1+1 的结果是 A. 2 B. 10 C. 1 D 某十进制数的 BCD 码是 , 则该十进制数是 A. 129 B. 81 C. -1 D 十进制 -13 的补码是 A B C D 某班有 40 名学生, 现用二进制数对这 40 名学生进行编码, 则至少需要二进制数 位 13

14 A. 5 B. 6 C 下列哪种逻辑门电路可以实现线与功能 A. 与非门 B. OC 门 C. 或非门 D. 非门 16. 具有置 0 置 1 保持 翻转四种功能的触发器是 A. SR 触发器 B. JK 触发器 C. D 触发器 D. T 触发器 17. 下列哪种电路属于时序逻辑电路 A. 计数器 B. 编码器 C. 译码器 D. 数据选择器 18. 电源电压为 +12V 的 555 定时器, 组成施密特触发器,VCO 端悬空, 则该施密特触发器的回 差电压 VT 为 A. 3V B. 4V C. 4.5V D. 6V 19. 多谐振荡器有 个稳态 A. 0 B. 1 C. 2 D 相异为 1, 相同为 0 描述的是 A. 与逻辑 B. 或逻辑 C. 同或逻辑 D. 异或逻辑 三 分析 计算 化简 画图题 ( 本大题共 5 小题, 第 1 2 小题各 15 分, 第 小题各 10 分, 共 60 分 请在答题纸的相应位置上作答 ) 1. (15 分 ) 电路如图所示, 晶体管 =100, r b e=2kω, U BE Q=0.7V ( 1) 求出静态时的 U CE Q ( 2) 画出电路的微变等效电路 ( 3) 求出电路的 A u R i 和 R o 14

15 2.(15 分 ) 如图所示串联型稳压电路, 已知变压器副边电压有效值 U 2 为 20V, 稳压管 的稳定电压 U S=5V, R 1=R 2=R 3=200Ω 组成 (1) 说明整流电路 滤波电路 比较放大电路 基准电路 调整电路 采样电路各由什么元件 (2) 输出电压调节范围 Uomax,Uomin 3. (10 分 ) 把下列函数化简化简为最简与或式 Y(A,B,C,D)=Σm(1,7,9,11,12,13) 约束条件为 Σd(3,5,10,14,15) 4. (10 分 ) 分析电路, 列出真值表, 写出逻辑函数式并化简为最简与或式 5.(10 分 ) 说明下面触发器的触发方式, 画出输出端的波形 ( 设触发器初态为 0) 15

16 四 设计题 ( 本大题共 3 小题, 第 1 小题 15 分, 第 2 小题 10 分, 第 3 小题 5 分, 共 30 分 请在答题纸的相应位置上作答 ) 1. (15 分 ) 利用单个运算放大器设计加减运算电路, 实现运算 uo=5ui1-5ui2-4ui3, 要求反馈电阻取 100 kω, 其余电阻在 10kΩ 到 200 kω 范围内选择 2. (10 分 ) 已知某函数的真值表如下, 试用 74HC153 实现该函数, 写出必要步骤, 并画出电路, 图中 153 的地址输入端 A1A0 已指定 3. (5 分 ) 用两片 及少量与非门组成 24 进制计数器, 计数范围 0-23, 要求用清零法 16

17 电子技术基础参考答案 一 填空题 ( 每空 2 分, 共 20 分 ) 1. 空穴 2. 三角 3. 瞬时极性 4. 差 5. 小 高阻态 SR 10. 暂稳二 单项选择题 ( 本大题共 20 小题, 每小题 2 分, 共 40 分 ) 1-5 DABBD 6-10 CBCAD CBDBB BABAD 三 分析 计算 化简 画图题 ( 共 60 分 ) 1. (15 分 ) ( 1) 求出静态时的 U CE Q ( 6 分 ) U I BQ CQ CEQ R I b1 EQ Rb1 R U = CC b2 V BQ U V - I CQ CC -U R e 2V BEQ ( Rc + Re = 1.3mA ) = 4.2V ( 2) 画出电路的微变等效电路 ( 3 分 ) ( 3) 求出电路的 A u R i 和 R o Rc // RL Au -β (2 分 ) r be R R R // r 1.35kΩ (2 分 ) i b1 // b2 be Ro=Rc=5kΩ (2 分 ) 2. (15 分 ) (1) 电路中各部分的组成元件为 : 整流电路 :VD1~VD4 滤波电路 :C 比较放大电路 :A 17

18 基准电路 R VS 调整电路 :VT 采样电路 R1 R2 R (7 分, 整流电路 2 分, 其他 1 分 ) (2) 输出电压调节范围 Uomax,Uomin U U Omin Omax R1 R2 R3 US R2 R3 R1 R2 R3 US R 3 7.5(V) 15(V) (8 分 ) 3. 卡诺图如图所示 (6 分 ) Y D AB (4 分 ) 4. Y ( A B ( BC')')' ( A B)' BC' ( AB A' B') BC' ABC' (6 分 ) 真值表 A B C Y

19 (4 分 ) 触发方式为脉冲触发 (4 分 ) (6 分 ) 四 设计题 ( 共 30 分 ) 1. 解 : 根据题意,UI1 应接到运放的同相输入端,UI2 和 UI3 应接到运放的反相输入端, 并须在 同相端接一补偿电阻, 如图下图所示 (5 分 ) 当满足运放的同相端接的电阻和反相端接的电阻对称时, 上图输入输出的关系为 : Uo R R R R R R f f f UI1 UI 2 UI (2 分 ) 已知 R f 取 100kΩ, 则其他电阻取值如下 : 19

20 Rf 5, R1 R1 20k Rf 5, R2 R2 20k Rf 4, R3 R3 25k R2 // R3 // Rf R1 // R4, R 4 20k (8 分, 每个阻值 2 分 ) 2. Y A' B' C A' BC' AB' C' AB 0 令 D0 C, D1 D2 C', D (6 分 ) 电路如图 (4 分 ) 3. 电路如下图 (5 分, 错一处扣 1 分 ) 20

21 第二部分 : 通信原理 Ⅰ. 考试说明 一 内容概述与总要求 通信原理 考试是为河北省高等教育学校招收通信工程专业专科接本科学生而实施的入学考试 应考者应具备必要的电子线路 数字电路 信号与系统等课程的知识 通信原理 主要介绍通信系统的基本原理和基本分析方法 它不是针对具体的哪一种通信方式, 而是从各种通信方式中概括出一般的模型, 针对这个模型来讨论通信系统的组成 通信系统的工作原理和通信系统的性能指标 以模拟通信系统为基础, 重点介绍数字通信, 包括数字基带传输 数字频带传输 模拟信号的数字传输 数字信号的最佳接收 差错控制编码 同步技术等 通信原理 课程要求: 通过本课程的学习, 使应考者了解和掌握通信系统的分类 性能指标 基本原理和分析方法 ; 掌握模拟和数字通信系统的组成 调制和解调原理及性能 ; 掌握数字信号基带传输和频带传输的基本技术 模拟信号数字传输系统的基本原理 同步原理和纠错编码的基本概念 在全面系统的基础上理解并掌握基本概念 基本知识 基本方法, 具有通信基础知识解决实际问题的能力 二 考试形式与试卷结构考试采用闭卷 笔试形式, 全卷满分为 150 分, 考试时间为 75 分钟 试卷包括选择题 填空题 简答题和综合题 ( 含计算, 画图等题型 ) 选择题是四选一型的单项选择题 ; 填空题只要求直接填写结果, 不必写出计算过程 ; 简答题写出文字说明 ; 应用题应写出文字或图形说明及演算步骤 选择题 填空题和简答题分值合计为 90 分 其余类型题目分值合计为 60 分 Ⅱ 知识要点和考核要求 一 绪论 1. 知识范围通信系统组成 通信系统的分类及通信方式 信息及其度量 主要性能指标 (1) 掌握模拟通信与数字通信系统的定义 模型及各模块的作用 优缺点 (2) 掌握通信系统分类 : 按调制方式分类 按信号特征分类 按传输媒质分类 按信号复用方 21

22 式分类 按工作波段分类 掌握通信方式的分类 (3) 理解并掌握信息量的概念 单位 计算及应用 ; 理解并掌握熵的概念及离散信源熵的计算 (4) 理解并掌握通信系统主要性能指标 : 有效性和可靠性 ; 理解并掌握模拟通信系统及数字通信系统的有效性和可靠性有哪此量来衡量 (5) 理解并掌握码元传输速率 信息传输速率 频带利用率 误码率 误信率的概念及计算 二 随机信号分析 ( 一 ) 平稳随机过程 1. 知识范围 随机过程的数字特征 广义平稳随机过程概念平稳随机过程的相关函数平稳随机过程的功率 谱密度 (1) 了解随机过程的数字特征 : 均值 方差及相关函数的物理意义 ; (2) 了解广义平衡随机过程的定义及相关函数的特点 ; (3) 了解平稳随机过程的相关函数与功率谱密度的关系 ; ( 二 ) 高斯随机过程 1. 知识范围高斯随机过程的定义窄带高斯随机过程的概念正弦波加窄带高斯过程白噪声 (1) 了解窄带平稳高斯随机过程的正交表示及其正交分量的统计特性 ; (2) 了解窄带平稳高斯随机过程的包络和相位的统计特性 ; (3) 了解正弦波加窄带高斯过程的包络和相位的统计特性 : (4) 掌握白噪声的概念以及带限白噪声的功率计算 ( 三 ) 随机过程通过线性系统 1. 知识范围平稳高斯过程通过线性系统后输出随机过程的数字特征与输入随机过程的关系 ; (1) 了解平稳高斯过程通过线性系统后仍为高斯过程 ; (2) 了解平稳高斯过程通过线性系统后仍为平稳过程 ; (2) 了解线性系统输出随机过程功率谱密度的计算方法 三 信道 22

23 ( 一 ) 信道的数学模型 1. 知识范围 信道的定义 分类 调制信道与编码信道的组成恒参信道的特点及其对信号的影响 随参信道的特点及其对信号的影响信道的加性噪声 (1) 了解信道的定义 分类 ; (2) 理解调制信道及编码信道模型 ; (3) 掌握恒参信道的特点及其对信号的影响 ; (4) 理解随参信道的特点及其对信号的影响 ; (5) 理解分集接收的原理及其作用 ; (6) 理解并能区分加性噪声和乘性噪声 ; (7) 解加性噪声的来源及特点 ( 二 ) 信道容量 1. 知识范围信道容量的定义决定信道容量的要素 ; (1) 理解信道容量的定义 (2) 了解离散信道的信道容量 ; (3) 掌握连续信道的信道容量的三要素及计算 ; 四 模拟调制系统 ( 一 ) 幅度调制的原理及抗噪性能 1. 知识范围调制的定义和分类 AM 调制原理及抗噪性能 DSB 调制原理及抗噪性能 SSB 调制原理及抗噪性能 VSB 调制原理 (1) 掌握调制的定义 目的和分类 (2) 掌握 AM DSB SSB 的调制 解调模型, 调制前后的波形图及频谱图, 调制信号的时域表示及带宽 ; (3) 了解 VSB 的调制模型 残留边带滤波器的设计 带宽 ; (4) 掌握相干解调下 DSB SSB 的抗噪性能分析方法, 掌握输入信噪比 输出信噪比 制度增 23

24 益的计算 ; (5) 理解非相干解调时 AM 的调制制度增益的计算 ; (6) 掌握门限效应概念 ( 二 ) 调频系统原理及抗噪性能 1. 知识范围 角度调制的基本概念 调频波与调相波的数学模型 ; 调频信号的抗噪性能 (1) 掌握角度调制的基本概念 : 瞬时相位 瞬时相应偏移 瞬时频率 瞬时频率偏移 ; (2) 掌握 FM 信号调频指数的物理意义并计算 ; (3) 掌握 FM 信号的最大频偏 带宽的计算 ; (4) 了解 FM 信号解调时输入信噪比和输出信噪比计算 ; (5) 理解 FM 信号解调中的门限效应 ; (6) 掌握各种模拟调制系统带宽 抗噪性能的比较 ( 三 ) 频分复用 1. 知识范围复用的概念及分类,FDM 产生原理及带宽的计算 (1) 频分复用的概念 ; (2) 频分复用系统的组成框图和工作原理 ; (3) 频分复用信号总带宽的计算 五 数学基带传输系统 1. 知识范围数字基带信号及其频谱特性基带传输的常用码型无码间干扰的基带传输特性眼图部分响应系统时域均衡 (1) 了解单极性不归零码 双极性不归零码 单极性归零码 双极性归零码的时域波形及特点 ; (2) 了解数字基带信号频谱的组成 ; (3) 掌握单极性二进制序列的功率谱密度的特点及第一过零点带宽的计算 ; (4) 掌握双极性二进制序列的功率谱密度的特点及第一过零点带宽的计算 ; (5) 掌握传输码的码型选择原则及 AMI 码 HDB 3 码编译码规则 ; 24

25 (6) 掌握数字基带信号传输系统的组成及各部分功能 ; (7) 掌握码间干扰产生的的概念和产生的原因 ; (8) 理解并掌握奈奎斯特第一准则并会判断系统有无码间干扰, 理想低通传输特性的特点, 理解升余弦滚降传输特性的特点 ; (9) 掌握无码间干扰的基带传输特性的判定方法 ; (10) 了解最佳门限电平的概念, 掌握基带传输系统最佳门限电平的选取 ; (11) 了解眼图的作用及与码间串扰 噪声的关系 ; (12) 了解部分响应系统的特点 (13) 了解时域均衡的作用 六 数字带通传输系统 1. 知识范围二进制振幅键控 (2ASK) 二进制移频键控 (2FSK) 二进制移相键控 (2PSK) 与二进制差分相位键控 (2DPSK) 二进制数字调制系统的性能比较多进制数字调制系统 (1) 掌握带通传输系统定义 (2) 掌握 2ASK 2FSK 2PSK 2DPSK 调制的产生 各种解调框图工作原理与各点信号的数学模型 ; (3) 掌握 2ASK 2FSK 2PSK 2DPSK 波形图 功率谱图及带宽 (4) 了解 2ASK 2FSK 2PSK 2DPSK 的误码率与信噪比的关系 ; (5) 掌握从误码率 频带宽度 对信道特性变化的敏感性三方面对二进制数字调制系统的性能比较 ; 掌握在相同信噪比的情况下,2ASK 2FSK 2PSK 系统的误码率大小 ; (6) 了解多进制数字调制的优缺点及带宽大小 七 模拟信号的数字传输 1. 知识范围 抽样定理 模拟信号的量化脉冲编码调制 (PCM) 增量调制 ( M) 时分复用 (1) 理解低通模拟信号抽样定理, 什么是自然抽样和平顶抽样以及怎样在接收端进行恢复 ; (2) 掌握低通信号 带通信号抽样速率的计算 ; (3) 了解脉冲振幅调制 (PAM) 的原理 ; (4) 掌握非均匀量化的目的, 非均匀量化实现步骤 ; 25

26 (5) 理解自然二进码 折叠二进码的编码规则 ; (6) 掌握 13 折线 A 律 PCM 编码的规则 译码规则及误差的计算 ; (7) 掌握 PCM 信号的码元速率和带宽的计算 ; (8) 掌握 PCM 编码与均匀量化的线性编码的转换 ; (9) 理解增量调制的基本原理 ; (10) 理解增量调制的过载特性与动态编码范围 ; (11) 理解增量调制避免过载的条件 ; (12) 掌握各种模拟调制系统带宽 抗噪性能的比较 (13) 掌握时分复用 PCM 系统的原理,PCM30/32 路制式基群帧结构 ; (14) 掌握时分复用 PCM 信号带宽的计算八 数字信号的最佳接收 1. 知识范围最佳接收准则最佳接收机匹配滤波器最佳基带传输系统 (1) 了解最大似然准则的含义 ; (2) 了解二进制确知数字信号的最佳接收机框图及物理意义 (3) 了解先验概率对误码率的影响 ; (4) 理解二进制确知信号的最佳形式 ; (5) 理解解匹配滤波器及最佳基带传输系统定义 (6) 了解最佳基带传输系统的组成及理想信道下最佳基带传输系统的特点, 九 差错控制编码 1. 知识范围纠错编码的基本原理线性分组码循环码 (1) 了解码长 码重 码距和最小码距的概念 ; (2) 理解分组码的检错 纠错能力与最小码距的关系 ; (3) 理解奇偶校验码的检错原理及其检错能力 ; (4) 恒比码的检错原理及其检错能力 ; (5) 正反码的检错 纠错原理及其检错 纠错能力 ; (6) 了解线性分组码的定义及特点 ; 26

27 (7) 了解监督矩阵和生成矩阵的概念 : (8) 理解线性分组码的编码原理 ; (9) 了解循环码的特点 ; 生成多项式的概念 ; (10) 理解循环码的编码原理 ; 十 同步原理 1. 知识范围载波同步 码元同步 群同步 (1) 了解同步的分类 ; (2) 理解载波同步与作用 ; (3) 了解载波相位误差对解调性能的影响 ; (4) 了解插入导频法实现载波同步的框图及工作原理 ; (5) 了解平方变换法和平方环法的原理 ; (6) 了解正交环法的框图及工作原理 ; (7) 理解载波同步系统的性能指标 ; (8) 了解位同步的概念与作用 ; 位同步误差对解调性能的影响 ; (9) 了解群同步的概念及插入方法 27

28 Ⅲ. 模拟试卷及参考答案河北省普通高校专科接本科教育考试通信原理模拟试卷 ( 考试时间 :75 分钟 ) ( 总分 :150 分 ) 说明 : 请在答题纸的相应位置上作答, 在其它位置上作答的无效 一 单项选择题 ( 本大题共 10 小题, 每小题 3 分, 共 30 分 在每小题给出的四个备选项中, 选出一个正确的答案, 并将所选项前的字母填涂在答题纸的相应位置上 ) 1. 在通信系统的简化模型的组成中, 将信息转化为原始电信号的是 ( ) A. 信息源 B. 发送设备 C. 接受设备 D. 噪声源 2. 用 10KHz 的正弦信号调制 100MHz 的载波, 若最大频偏为 50KHz, 则产生 FM 波的带宽 为 ( ) A.20KHz B.50KHz C.100KHz D.120KHz 3. 载波同步系统的主要性能指标是 ( ) A. 建立时间快和保持时间长 B. 高效率和高精度 C. 高效率和建立时间快 D. 高精度和保持时间长 4. 模拟信号解调的 门限效应 有可能出现在 ( ) 信号的解调中 : A. 包络检波时的 FM B. DSB C. 相干解调时的 AM D. 相干解调时 FM 5. 在 ASK PSB FSK DPSK 数字调制中, 可靠性由高到低的顺序为 ( ) A. 2ASK 2PSK 2DPSK 2FSK B. 2PSK 2DPSK 2ASK 2FSK C. 2PSK 2DPSK 2FSK 2ASK D. 2DPSK 2PSK 2FSK 2ASK 6. 语音信号数字化采用非均匀量化的目的是 ( ) A. 增加信噪比 B. 减小误码率 C. 减小信号畸变 D. 均衡信噪比 7. 一个均值为零的平稳高斯窄带噪声, 它的包络一维分布服从 ( ) A. 高斯分布 B. 均匀分布 C. 瑞利分布 D. 莱斯分布 8. 下列哪一组波形的信号最适合二进制最佳接收系统 ( ) 28

29 9. 下列哪种方式属于非线性调制 ( ) A.FM B.DSB C.VSB D.SSB 10. 相对于二进制数字调制而言, 多进制数字调制的优缺点是 ( ) A. 频带利用率高, 抗噪性能好 B. 实现简单, 各方面性能都好 C. 有效性好, 可靠性差 D. 可靠性好, 有倒 π 现象 二 填空题 ( 本大题共 15 个空, 每空 2 分, 共 30 分 将答案填写在答题纸的相应位置上 ) 1. 按照信号的复用方式, 通信系统可以分为 和码分复用三种 2. 某信源由 4 个独立符号 A,B,C,D 组成, 若符号概率分别为 1/8,1/8,1/4,1/2, 则该信源的熵为 bit/ 符号 ; 若要使该信源的熵达到最大值, 则符号的概率应满足 的 条件 3. 将模拟信号变成数字信号, 需要经过抽样 和 三个过程 4. 普通调幅波可以采用包络检波而不失真的条件是 5. 数字通 信系统中, 同步包括 和网同步四种 6. 在数字基带通信中, 可通过眼图衡量系统性能 眼图中央的横轴位置对应 广义信道可分为调制信道和信道 8. 为检测 e 个错码, 要求最小码距 d 为 奇偶监督码能够检测 10 R ( ) 表示的是该随机过程的 功率 三. 简答题 ( 本大题共 3 小题, 每小题 10 分, 共 30 分 请在答题纸的相应位置上作答 ) 1. 增量调制中出现过载现象的原因是什么, 怎样防止这种现象发生 2. 通信系统的两个主要性能指标是什么? 模拟通信系统和数字通信系统分别用什么来衡量? 3. 传输码的选码原则是什么? 四. 综合题 ( 本大题共 4 小题, 每小题 15 分, 共 60 分 将解答的主要过程 步骤和答案填写在答题纸 的相应位置上 ) 29

30 1. 已知某信道带宽为 2400Hz, 求接收端信噪比为 1023 时的信道容量, 若要求信道能传输 4800bps 的数据, 则接收端要求的最小信噪比为多少? 2 已知数字基带传输系统的传输函数 H(f) 如图所示 H(f) αf0 f0 αf0 f (1) 试判断该系统能否实现无码间干扰传输? (2) 该系统无码间串扰的最高码元传输速率是多少? 这时系统频带利用率为多大? 3. 设 PCM 系统中信号的最高频率为 4 khz, 抽样频率为 8kHz, 用 8 位编码 (1) 设某时刻输入信号抽样值为 -956 量化单位, 试将它按照 A 律 13 折线压缩特性编成 8 位 PCM 码 (2) 如果是 10 路信号复用, 求传输此复用信号所需的奈奎斯特带宽 4. 已知数字信息, 码元速率为 800 波特, 载波频率为 800Hz, 请分别画出 2ASK 2PSK 和 2DPSK 的波形 通信原理参考答案 ( 考试时间 :75 分钟 ) ( 总分 :150 分 ) 一 单项选择题 ( 本大题共 10 小题, 每小题 3 分, 共 30 分. 在每小题给出的四个备选项中, 选出一个正确的答案, 并将所选项前的字母填涂在答题纸的相应位置上 ) ADAAC DCBAC 二 填空题 ( 本大题共 10 小题, 共 15 个空, 每空 2 分, 共 30 分. 将答案填写在答题纸的相应位置上 ) 1. 时分复用 ; 频分复用 ; 独立等概 3. 量化 ; 编码 30

31 4. m( t) A0 ; max 5. 载波同步 ; 位同步 ; 群同步 6. 判决门限 编码信道 8.d ( e 奇数个错码 10. 直流功率 三. 简答题 ( 本大题共 3 小题, 每题 10 分, 共 30 分. 将答案填写在答题纸的相应位置上 ) 1.(1) 信号上升过快 ---- 不可改变因素 ; (2 分 ) (2) 取样速率慢 可变因素 ; (2 分 ) (3) 量化台阶 σ 太小 但不能太大. (2 分 ) 止防过载现象的方法 : 提高取样速率 (4 分 ) 2. 有效性和可靠性 ;(4 分 ) 模拟通信系统分别用传输带宽和输出信噪比来衡量,(3 分 ) 数字通信系统的有效性用有效性来衡量, 可靠性用差错率来衡量 (3 分 ) 3. (1) 不含直流, 且低频分量尽量少 ;(2 分 ) (2) 应含有丰富的定时信息 ;(2 分 ) (3) 功率谱主瓣宽度窄, 以节省传输频带 ;(2 分 ) (4) 不受信源统计特性的影响 ;(2 分 ) (5) 具有内在的检错能力 ;(1 分 ) (6) 编译码简单 (1 分 ) 四. 综合题 ( 本大题共 4 小题, 每小题 15 分, 共 60 分. 将解答的主要过程 步骤和答案填写在答题纸的相应位置上 ) 1 S 1024 (10 分 ) C B log log ( b / s) N S S log2 1 1 (5 分 ) N N 2.(1) 字基带传输系统的等效带宽为 f0 的理想低通形式 ( 或用奈奎斯特第一准则进行判决 ), 能实现无码间干扰传输 (5 分 ) (2) 该系统无码间串扰的最高码元传输速率是 2f 0 (B) (5 分 ) 31

32 频带利用率 R B B 2 f 0 (1 a) f a (5 分 ) 3.(1) -956<0, 所以 C1=0;(3 分 ) 956 在 512~1024 之间, 为第 7 段, 所以 C2C3C4=110;(3 分 ) , 所以 C5C6C7C8=1101;(3 分 ) (2)R=8*8*10=64kb/s (3 分 ) B=R/2=32kb/s (3 分 ) 4. 2ASK 2PSK 和 2DPSK 每个波形各 (5 分 ). a n 载波 2PSK 2DPSK 2ASK 32

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

模拟电子技术基础》(满分150分)

模拟电子技术基础》(满分150分) 模拟电子技术基础 模拟测试一答案 一 填空题 ( 每小题 3 分, 共 5 分. 自由电子, 空穴. 源极 3. 相同. 小 5. 高, 饱和失真 6. 增大, 减小 7. 虚短, 虚断 8. 放大电路, 选频网络 9. 正反馈, 非线性 0. 变窄. 反向击穿. 射, 集, 基 3. 串联, 电压. 选频, 正反馈 5. 最大输出功率, 电源提供的功率 二 分析判断题 ( 本大题共 小题, 每小题

More information

<4D F736F F D203139A1B6CDA8D0C5D4ADC0ED43A1B7BFCEB3CCBDCCD1A7B4F3B8D9>

<4D F736F F D203139A1B6CDA8D0C5D4ADC0ED43A1B7BFCEB3CCBDCCD1A7B4F3B8D9> 通信原理 C 课程教学大纲 一 课程基本情况 课程编号 010382 课程类别 必修 限选 任选学时 / 学分 88/5.5 课程名称 ( 中文 ) 通信原理 C ( 英文 ) Principles of Communication 教学方式 课堂讲授为主 实验为主 自学为主 专题讨论为主 课程学时 课内总学时 课内学时分配 课外学时分配 及其分配 课堂讲课 88 课后复习 132 自学交流 课外自学

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ;

一 填空题 1 深度反馈 ; 同相输入点接地 2 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 ; 90 ; 大于 6 效率低 ; 甲乙类 7 0 ; 500 ;100 8 低 9 晶体管结电容 90 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 共集 ; 一 填空题 深度反馈 ; 同相输入点接地 稳定直流工作点 ; 抑制零点漂移 3 小 4 截止 5 8 ; 9 ; 大于 6 效率低 ; 甲乙类 7 ; 5 ; 8 低 9 晶体管结电容 9 ; 小于 模拟电子技术基础 模拟卷 ( 一 ) 参考答案 8 共集 ; 共集 ; 共射 ; 共集 ; 共基 自由电子 ; 空穴 绝缘栅型 ; 电压 3 共射 4 输入 5 负反馈 二 分析判断题 解: 稳压管的最大稳定电流

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

《电子线路》考纲

《电子线路》考纲 2016 年福建省高等职业教育入学考试 电子信息类专业基础知识考试大纲 本考试大纲以教育部中等职业学校电工技术基础与技能教学大纲和电子技术基础与技能教学大纲为依据, 以教育部和福建省教育厅公布的中等职业学校教学用书目录中本专业有关教材为主要参考教材 所涉及的考试范围主要包括中等职业学校信息技术类 加工制造类等专业均有开设的 电工技术基础与技能 和 电子技术基础与技能 课程, 主要测试考生理解和掌握有关基本理论

More information

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos(

第一章三角函数 1.3 三角函数的诱导公式 A 组 ( ) 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角, 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C 2 ( 中诱导公式 ) ( ) B. cos( 第一章三角函数 1. 三角函数的诱导公式 A 组 一 选择题 : 共 6 小题 1 ( 易诱导公式 ) 若 A B C 分别为 ABC 的内角 则下列关系中正确的是 A. sin( A B) sin C C. tan( A B) tan C ( 中诱导公式 ) B. cos( B C) cos A D. sin( B C) sin A sin60 cos( ) sin( 0 )cos( 70 ) 的值等于

More information

第9章内容提要

第9章内容提要 第 9 章脉冲单元电路 本章主要介绍了 (1) 脉冲信号 ( 矩形脉冲 ) 的波形及其参数 (2) 施密特触发器 单稳态触发器 多谐振荡器工作原理及其应用 (3) 用门电路构成施密特触发器 单稳态触发器 多谐振荡器的基本原理及主要参数计算 (4)555 定时器的电路结构和工作原理 (5) 用 555 定时器构成施密特触发器 单稳态触发器 多谐振荡器的电路结构和参数计算 教学基本要求掌握施密特触发器

More information

Microsoft Word - 北京邮电大学2010年801通信原理考研真题参考答案.docx

Microsoft Word - 北京邮电大学2010年801通信原理考研真题参考答案.docx 北京邮电大学 010 年硕士研究生入学考试试题参考答案 注 : 本参考答案为邮学考研原创, 免费提供给广大考生复习参考, 未经允许, 请勿用于其他用途 北邮考研专业课线上专项课程 线下高端集训服务咨询 北邮考研复习 / 报考指导等信息可参见邮学 考研微信公众号及邮学网官方网站 一 选择填空题 ( 每空 1 分, 共 0 分 ) 1 4 5 6 x u j c b q l n a d 7 8 9 10

More information

河北省 2016 年普通专科接本科教育考试

河北省 2016 年普通专科接本科教育考试 河北省普通高校专科接本科教育考试 电气工程及其自动化 / 电子信息工程 / 信息工程 / 自动化 专业考试说明 第一部分 : 电路 Ⅰ. 课程简介 一 内容概述与要求电路考试是为招收电气工程及其自动化 电子信息工程 自动化等专业专科接本科学生而实施的入学考试 参加本课程考试的考生应理解或了解电路模型和电路定律 电阻电路的等效变换 电阻电路的一般分析 电路定理 储能元件 一阶电路和二阶电路的时域分析

More information

通信原理教学大纲.doc

通信原理教学大纲.doc 通信原理 教学大纲 课程性质 : 专业基础课程 ( 选修 ) 先修课程 : 高等数学, 信号系统, 概率统计 总学时 :51 学分 :3 理论学时 :42 实验学时 :9 开课学院 : 数学计算机科学学院适用专业 : 计算机科学与技术 ( 非师范 ) 大纲执笔人 : 方群 教学院长审定 : 许勇 教研室主任审核 : 陈少军 一 课程目的本课程的教学目的是为了使非通信信息工程类与电子类专业, 尤其是计算机专业的本科学生

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

第二章确定信号分析.01, 在我国古代, 常用烽火来传递敌军来袭的消息 从信号的角度来看, 烽火属于信号.0, 目前, 第三代移动通信 (3G) 标准一共有个, 其中是由我国自行提出的国际标准.03, 对于点对点通信, 按照消息传送的方向和时间, 可以为,, 三种方式.04, 通信系统按照信号类型可

第二章确定信号分析.01, 在我国古代, 常用烽火来传递敌军来袭的消息 从信号的角度来看, 烽火属于信号.0, 目前, 第三代移动通信 (3G) 标准一共有个, 其中是由我国自行提出的国际标准.03, 对于点对点通信, 按照消息传送的方向和时间, 可以为,, 三种方式.04, 通信系统按照信号类型可 北京邮电大学硕士研究生入学考试通信原理复习备考资料 基础知识题 雪山灰虎整理 010 年 11 月 http://www.bytxyl.n/ 使用说明 : 本文档总结了北邮通信原理教材中常见的 3 个小题, 可以供考 801 和 803 的同学使用 对于考 801 的同学, 本文档可以直接用来准备第一题的填空选择题 另外, 无论是考 801 还是 803, 都可以使用本文档来辅助巩固教材中比较零散的知识点,

More information

上编 专业基础课

上编  专业基础课 中国人民公安大学硕士研究生招生考试 C 语言程序设计和数字电子技术 考试大纲 ( 本大纲适用于公安技术一级学科安全防范工程二级学科招生初试 ) 2016 年 5 月修订 1 目录 Ⅰ. 考查目标... 3 Ⅱ. 考试形式和试卷结构... 3 Ⅲ. 考查内容... 4 第一部分 C 语言程序设计... 4 第二部分数字电子技术... 5 Ⅳ. 参考试题... 7 Ⅴ. 参考答案... 12 Ⅵ. 参考书目...

More information

Microsoft Word - 习题解答.doc

Microsoft Word - 习题解答.doc 习题解答 第一章.4. 解 : 由图可知, ( ) L +, + s s () 0, 0 时, s L L 0 s ( s + ), 0 0 + L L s 00 / 8.6 /0 s () s (3) s (4) s 5.5 s 0 / 0.086 /0 s 0 / 0.86 /0 s.5.5 解 : 输入级 : 高输入电阻型放大电路 减小对信号源的衰减中间级 : 高增益型放大电路 提高信号的放大倍数输出机

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 第 2 章逻辑代数基础 第 2 章逻辑门 2. 逻辑函数 2.2 逻辑门描述 2.3 逻辑门电路实现 2.4 集成逻辑门 第 2 章逻辑代数基础 2. 逻辑运算 2.. 三种基本运算 自然界中许多事物之间存在着一定的逻辑关系 其中 与 或 和 非 是三种基本的逻辑关系. 逻辑与关系 ( 与运算 / 逻辑乘 ) 逻辑 与 关系是指事物之间的这样一种逻辑关系 : 设有三个事件, 和 C 事件 C 的发生与否,

More information

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù

2013Ä긣½¨Ê¦·¶´óѧ839ͨѶÓëÐÅϢϵͳרҵ×ۺϿ¼ÊÔ´ó¸Ù 福建师范大学硕士研究生入学考试 通讯与信息系统专业综合通讯与信息系统专业综合 考试大纲 一考查目标通信与信息系统专业综合考试涵盖信号与系统和数字电路两门学科基础课程 要求考生系统掌握上述学科的基本理论 基本知识和基本方法, 能够运用所学的基本理论 基本知识和基本方法分析和解决有关理论问题和实际问题 二 考试形式和试卷结构 1. 试卷满分及考试时间本试卷满分为 150 分, 考试时间为 180 分钟

More information

情况汇报

情况汇报 电子线路 南京航空航天大学王成华 chwang@nuaa.edu.cn 025-84892797(O) 一 信息无处不在, 信息改变生活 电视广播 数码摄像机 现代信息化战争 因特网 移动通信 信息社会 核磁共振 笔记本电脑 MP5 音视频播放器 GPS 导航仪 计算机硬件 笔记本电脑 信息服务业 通信产业 曙光超级计算机 信息检索 电子商务和娱乐等 集成电路生产装备 固定通信移动通信 卫星通信 微波通信

More information

Microsoft Word - 北京邮电大学2012年801通信原理考研真题参考答案.docx

Microsoft Word - 北京邮电大学2012年801通信原理考研真题参考答案.docx 北京邮电大学 202 年硕士研究生入学考试试题参考答案 注 : 本参考答案为邮学考研原创, 免费提供给广大考生复习参考, 未经允许, 请勿用于其他用途 北邮考研专业课线上专项课程 线下高端集训服务咨询 北邮考研复习 / 报考指导等信息可参见邮学 考研微信公众号及邮学网官方网站 一 选择题 每题 分, 共 30 分 ) 2 3 4 5 B B 7 8 9 D A A B 0 B A D D A 2 3

More information

6. 数字信号频带传输 (1) 二元数字调制系统实现原理与性能分析 (2) 四元相移键控调制系统实现原理与性能分析 (3) 多元数字调制与 QAM 调制系统实现原理与性能分析 (4) 恒包络调制与 MSK 调制原理与性能分析 7. 数字信号最佳接收 (1) 信号空间分析法 (2) 最佳接收机与最佳接

6. 数字信号频带传输 (1) 二元数字调制系统实现原理与性能分析 (2) 四元相移键控调制系统实现原理与性能分析 (3) 多元数字调制与 QAM 调制系统实现原理与性能分析 (4) 恒包络调制与 MSK 调制原理与性能分析 7. 数字信号最佳接收 (1) 信号空间分析法 (2) 最佳接收机与最佳接 电子信息工程学院硕士研究生入学考试自命题科目考试范围 一 891 通信系统原理 1. 系统概述 (1) 通信 信息与信息量基本概念 (2) 通信系统模型 (3) 通信系统性能指标 (4) 通信信道分类及特征 2. 信号与噪声分析 (1) 随机变量的统计特性与数字特征分析 (2) 随机过程的统计特性与数字特征分析 (3) 平稳随机过程分析 (4) 随机过程传输特性分析 (5) 高斯噪声分析 3. 模拟调制系统

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

北京邮电大学 05 年考研 80 试题及参考答案 部分响应系统通过引入人为的符号间干扰来 (5) (5) A. 提高频带利用率 B. 协助符号同步 C. 降低包络起伏 D. 抵抗频率选择性衰落 平稳窄带高斯噪声叠加余弦波后的包络服从 (6) 分布 (6) A. 均 B. 指数 C. 瑞利 D. 莱斯

北京邮电大学 05 年考研 80 试题及参考答案 部分响应系统通过引入人为的符号间干扰来 (5) (5) A. 提高频带利用率 B. 协助符号同步 C. 降低包络起伏 D. 抵抗频率选择性衰落 平稳窄带高斯噪声叠加余弦波后的包络服从 (6) 分布 (6) A. 均 B. 指数 C. 瑞利 D. 莱斯 北京邮电大学 05 年考研 80 试题及参考答案 北京邮电大学 05 年硕士研究生入学考试试题 考试科目 :80 通信原理 请考生注意 : 所有答案一律写在答题纸上, 否则不计成绩 不允许考生使用计算器 一 单项选择题 ( 每小题 分, 共 40 分 ) 若 6 进制通信系统的数据速率是 6k/, 则符号速率是 () kbaud; 若平均信号功率是 8W, 则平均比特能 量是 () mj (0) A.4

More information

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率

1、电路如图1所示,用支路电流法求图中各支路电流及各电源及电阻的功率 1 计算图 P1 所示各电路,K 打开时及闭合时的 U a U b 及 U ab 图 P1 2 电路如图 P2 所示, 求 U x I x 和电压源电流 电流源电压 图 P2 3 在图 P3 所示电路中, 试求受控源提供的电流以及功率 4 电路如图 P4 所示, 若 U s =19.5 V,U 1 =1 V, 试求 R 图 P3 图 P4 5 求图 P5 所示各二端网络的输入电阻 R i 6 求图

More information

没有幻灯片标题

没有幻灯片标题 第四章 组合逻辑电路 4. 组合电路的分析 4.2 组合电路的设计及典型组件介绍 4.3 中规模组合逻辑组件的灵活应用 4.4 组合电路中的竞争 - 冒险现象 当前的输入逻辑电路组合电路 时序电路 功能 : 输出只取决于 组成 : 门电路, 不存在记忆元件 功能 : 输出取决于 组成 : 组合电路 当前的输入 记忆元件 原来的状态 4. 组合电路的分析任分析 : 给定逻辑图务给定设计 : 逻辑功能

More information

实验7 单管低频电压放大电路

实验7   单管低频电压放大电路 实验 7 单管低频电压放大电路 实验目的. 认识实验所用的电子元器件 ( 双极型晶体管 电阻 电位器和电容器等 ) 2. 学习看图接线, 能熟练使用常用电子测量仪器 3. 学习单管电压放大电路的基本测试方法 4. 了解双极型晶体管电压放大电路中引入负反馈后对其工作性能的影响 实验原理. 单管低频电压放大电路介绍 +U R B RP 00kΩ R 3kΩ 2V R S 0kΩ e S u 0μF RB2

More information

6 电路 : 理解和掌握基本放大电路 集成运算放大器 功率放大器 信号 发生器及直流稳压电源, 并能熟练应用 7 基本方法掌握微变等效电路分析法 能够较灵活地分析各种模拟电路 三. 教学内容 第一章电路的基本概念与基本定律电流 电压的参考方向欧姆定律基尔霍夫电流 电压定律电位计算第二章电路的分析方法

6 电路 : 理解和掌握基本放大电路 集成运算放大器 功率放大器 信号 发生器及直流稳压电源, 并能熟练应用 7 基本方法掌握微变等效电路分析法 能够较灵活地分析各种模拟电路 三. 教学内容 第一章电路的基本概念与基本定律电流 电压的参考方向欧姆定律基尔霍夫电流 电压定律电位计算第二章电路的分析方法 天津大学 电路与电子技术 课程教学大纲 课程编号 : 2160242 课程名称 : 电路与电子技术 学 时 : 64 学 分 : 3.5 学时分配 : 授课 : 48 上机 : 实验 : 16 实践 : 实践 ( 周 ): 授课学院 : 计算机科学与技术 适用专业 : 计算机科学与技术 先修课程 : 大学物理 一. 课程的性质与目的本课程是高等学校本科计算机及其应用专业必修的一门重要的学科基础课程,

More information

E507d0208a01

E507d0208a01 实验目的. 了解直流稳压电源的组成及各个组成部分的作用. 了解稳压二极管的稳压作用及并联稳压电路的工作原理 3. 了解采用集成稳压器构成固定式及电压可调式稳压电路的方法 网交流电路电变压压电路整波电实验原理 各种电子设备都需要由电压稳定的直流电源供电, 直流稳压电源分为线性电源和开关电源两种, 本实验的研究对象为线性稳压电源 线性稳压电源的组成如图 3.0a. 所示, 图中由 0V 工频交流电通过变压器变压为所需的交流电压,

More information

没有幻灯片标题

没有幻灯片标题 第三章 门电路 3.1 概述 3.2 分立元件门电路 3.3 TTL 与非门 3.4 其它类型的 TTL 门电路 3.5 MOS 门电路 3.1 概述 门 : 电子开关 开门状态 : 满足一定条件时, 电路允 许信号通过 开关接通 关门状态 : 条件不满足时, 信号通不过 开关断开 正向导通 : 开关接通 二极管 开关断开 开关 反向截止 : C 作用 饱和区 : 开关接通 三极管 (C,E) E

More information

Microsoft Word 真题.docx

Microsoft Word 真题.docx 北京邮电大学 2015 年硕士研究生入学考试试题 请考生注意 :1 所有答案一律写在答题纸上, 否则不计成绩 2 不允许考生使用计算器 一 单项选择题 ( 每小题 1 分, 共 40 分 ) 1. 若 16 进制通信系统的数据速率是 16kb/s, 则符号速率是 kbaud; 若平均信号功率是 8W, 则平均比特能量是 mj A.4 B.8 C.16 D.32 A.1/4 B.1/2 C.1 D.4

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Digital System Design I

Digital System Design I 逻辑化简 刘鹏 浙江大学信息与电子工程系 Mar. 12, 2015 1 复习 逻辑公式和表达 公式法 本节内容 公式法化简 卡诺图化简 2 2014 ZDMC 与 -AND 条件同时具备, 结果发生 Y= A AND B = A&B = A B = AB 真值表 /truth table 图形符号 复习 A B Y 国标 0 0 0 0 1 0 1 0 0 1 1 1 国际 3 或 -OR 条件之一具备,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

论文题目 : 基于 Labview 的多进制 QAM 仿真 摘要 : 所在院 ( 系 ): 电子信息与电气工程学院姓名 : 王思文班级 :F 指导教师 : 蒋铃鸽 本文中, 利用 Labview 软件搭建仿真平台, 分别对模拟通信系统以及数字通信系统进行仿真 在模拟通信系统中, 主要利

论文题目 : 基于 Labview 的多进制 QAM 仿真 摘要 : 所在院 ( 系 ): 电子信息与电气工程学院姓名 : 王思文班级 :F 指导教师 : 蒋铃鸽 本文中, 利用 Labview 软件搭建仿真平台, 分别对模拟通信系统以及数字通信系统进行仿真 在模拟通信系统中, 主要利 项目编号 : T030PRP23044 本科生研究计划 (PRP) 研究论文 ( 第 23 期 ) 论文题目 : 基于 Labview 的多进制 QAM 仿真项目负责人 : 蒋铃鸽学院 ( 系 ): 电子信息与电气工程学院指导教师 : 蒋铃鸽学院 ( 系 ): 电子信息与电气工程学院参与学生 : 王思文项目执行时间 :2013 年 4 月至 2013 年 9 月 论文题目 : 基于 Labview

More information

Microsoft Word 真题.docx

Microsoft Word 真题.docx 北京邮电大学 2016 年硕士研究生入学考试试题一 选择填空题 ( 每空 1 分, 共 30 分 ) 1. 下列中 是解析信号. A. Re 2 + 3j e ()*+, B. (2 + 3j)e 0()*+, C. e 0()+, D. (2 + 3j)e ()*+, 2. FM 鉴频器输出噪声的功率谱密度 P 23 (f) 与下列中 成正比 A. f ) B. f C. f 05 D. f 0)

More information

一、

一、 数据通信原理 复习题 一 填空题 1 典型的数据终端设备( ) 由数据输入设备 数据输出设备和 ( ) 三部分 构成 2 数据通信系统根据处理形式的不同, 可分为 ( ) 远程批量处理系统和分时处 理系统三类 3 如果串行传输的数据码流其速率为 9600bit/s, 那么 8 位并行数据传输线上的访问周期为 ( ) 毫秒 4 随机过程的数学期望 a(t) 通常是一个时间函数, 它表示随机过程各个时刻数学期望值随

More information

2

2 模拟电子技术基础 实验指导书 电工电子实验教学中心 2018 年 8 月 实验一常用电子仪器的使用 1. 实验目的 (1) 学会万用表的使用方法 ; (2) 学会用示波器测试电压波形 幅度 频率的基本方法 ; (3) 学会正确调节函数信号发生器频率 幅度的方法 ; (4) 学会交流毫伏表的使用方法等 2. 实验仪器 (1)DS-5000 系列数字示波器 ; (2)TH SG10 型数字合成信号发生器

More information

〖HJ〗〖KMB〗〖MM(〗〖HT5H〗电工及电子技术基础课程自学考试大纲〖MM)〗

〖HJ〗〖KMB〗〖MM(〗〖HT5H〗电工及电子技术基础课程自学考试大纲〖MM)〗 天津市高等教育自学考试课程考试大纲 课程名称 : 电工及电子技术基础课程代码 :3019 4128 编写弁言 中华人民共和国高等教育法 第二十一条规定 国家实行高等教育自学考试制度, 经考试合格的, 发给相应的学历证书或其它学业证书 高等教育自学考试的开考专业根据经济建设和社会发展的需要设置 当前, 中国高等职业技术教育正处于发展时期 发展职业技术教育是促进经济 社会发展和社会主义精神文明建设的重要途径

More information

大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用

大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用 大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用的需求建立信号与系统的数学模型, 通过时间域与变换域的数学算法, 分析系统性能, 求解输出信号的能力,

More information

Microsoft Word 年分段贯通培养转段考核专业基础知识考试要求(电子信息工程专业).doc

Microsoft Word 年分段贯通培养转段考核专业基础知识考试要求(电子信息工程专业).doc 山东建筑大学 2016 年分段贯通培养转段考核专业基础知识考试要求 电子信息工程专业 ( 电子信息工程技术专业 ) 目录 第一部分专业综合一... 1 高等数学部分... 1 模拟电子技术部分... 5 第二部分专业综合二... 7 数字电子技术部分... 7 单片机技术应用部分... 9 第一部分专业综合一 高等数学部分 一 总体要求考生应理解或了解 高等数学 中函数 极限和连续 一元函数微分学

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

LN htm

LN htm kaoya.om Leure Noes 7 4/9/8 随机过程 一随机过程. 随机过程的描述 () 是一簇随机变量, 给定一个时间, 就是一个随机变量 () 以函数为样本的随机事件 因为是无限个随机变量, 所以需要任意 N 维分布才能完全描述其分布特性. 平稳 平稳的意思就是与绝对时间无关 如果一维 二维特性与绝对时间无关, 称宽平稳或广义平稳 以后说的平稳都指宽平稳 定义 : 随机过程的自相关函数定义为

More information

<4D F736F F D20D6DCD7AFD7DBBACFB5E7D7D3CAB5D1B5D6B8B5BCCBB5C3F72E646F63>

<4D F736F F D20D6DCD7AFD7DBBACFB5E7D7D3CAB5D1B5D6B8B5BCCBB5C3F72E646F63> 周庄电子综合实训指导说明 2010.8 目的 : 掌握通信过程的系统概念, 加强对通信电子电路和实际通信过程的理解 通过实现一种数字通信的完整过程, 掌握该通信过程中的相关电子电路原理和实现技术, 培养实际动手能力 内容 :FSK 数字通信技术 第一部分 :2FSK 数字通信系统的基本原理 FSK 是数字通信中用得较广的一种数字调制方式 国际电报电话咨询委员会 CCITT( 现为国际电信联盟 ITU)

More information

第三章 半导体三极管及其应用

第三章 半导体三极管及其应用 第三章 双极结型三极管及其放大电路 ------Part 吉林大学电子科学与工程学院李传南 2013 Oct. 3.1 BJT- 双极结型晶体管 BJT 是双极结型三极管或双极结型晶体三极管 (Bipolar Junction Transistor), 又称为晶体管, 或晶体三极管 : 是通过一定的工艺将两个 PN 结结合在一起的器件 由于 PN 结之间的相互影响, 使 BJT 表现出 不同于单个

More information

<4D F736F F D20CDA8D0C5D4ADC0EDD5FBC0EDB1CABCC72E646F63>

<4D F736F F D20CDA8D0C5D4ADC0EDD5FBC0EDB1CABCC72E646F63> 第一部分 通信原理笔记 1 由于 A/D 或 D/A 变换的过程通常由信源编 ( 译 ) 码器实现, 所以我们把发端的 A/D 变换称为信源编码, 而收端的 D/A 变换称为信源译码, 如语音信号的数字化叫做语音编码 模拟信号的数字化又要经过抽样 量化 编码三个过程 模拟信号数字化的编码方法大致可划分为波形编码 参量编码和混合编码 3 抽样的定义及其抽样的分类: 抽样是把时间上连续的模拟信号变成一系列时间上离散的抽样值的过程

More information

《××××》(×级)

《××××》(×级) 电工 ( 三级 ) 操作技能鉴定要素细目表 职业 ( 工种 ) 电工等 职业代码 级 三级 代码序重要项单细名称 内容号系数目元目 1 继电控制电路测绘与装调维修 1 1 X62W 型万能铣床控制电路测绘与故障排除 1 1 1 1 X62W 铣床电气控制线路测绘 故障检查及排除 9 1 2 T68 型卧式镗床控制电路测绘与故障排除 2 1 2 1 T68 镗床电气控制线路测绘 故障检查及排除 9 1

More information

6 通过滤波器对信号功率谱中的离散分量进行提取, 如果滤波器的 Q 值较低, 会对 离散分量的提取有什么影响? 7 提取出的载波 位时钟存在相位抖动, 可以采样什么技术来消除? 8 时钟抖动和相位误差在通信系统中会造成什么影响? 四 实验原理 一 : 载波提取与提纯 : 载波提取原理 从调相波中提取

6 通过滤波器对信号功率谱中的离散分量进行提取, 如果滤波器的 Q 值较低, 会对 离散分量的提取有什么影响? 7 提取出的载波 位时钟存在相位抖动, 可以采样什么技术来消除? 8 时钟抖动和相位误差在通信系统中会造成什么影响? 四 实验原理 一 : 载波提取与提纯 : 载波提取原理 从调相波中提取 实验六同步技术实验 ( 载波 位时钟提取与提纯 ) 一 实验目的. 了解用直接法从已调信号中提取同步载波的方法和原理 2. 了解从解调信号中直接提取位时钟成份的方法和原理 3. 掌握用平方环电路从 2DPSK 信号里提取载波的原理 4. 了解用锁相环对载波和位时钟进行提纯的方法和原理 二 实验内容. 观察 2DPSK 信号经过平方律器件后的波形特点以及滤波后的波形 2. 观察用锁相环提纯后的载波和位时钟,

More information

《网页设计》课程整体设计

《网页设计》课程整体设计 课程教学设计 (2016/2017 学年第 1 学期 ) 课程名称 授课对象 模拟电路分析与实践 电子信息工程技术专业 课程学分 6 总学时 64 课程特性任务驱动 所属系部 设计人 ( 团队 ) 审核人 电子与电气工程学院 批准人 张慧敏 1 一 课程目标设计 1 总体目标通过小型电子产品的分析 制作 调试, 使学生了解基本电子电路在电子产品中的应用, 掌握电子技术的基本概念 基本理论和分析电子电路的方法,

More information

Microsoft PowerPoint - 06时序逻辑电路

Microsoft PowerPoint - 06时序逻辑电路 第六章时序逻辑电路 6. 概述 本章目录 6. 时序逻辑电路的分析方法 6. 若干常用的时序逻辑电路 6.4 时序逻辑电路的设计方法 6.5 用可编程逻辑器件实现同步时序逻辑电路 6.6 时序逻辑电路中的竞争 - 冒险现象 7-8-4 第六章时序逻辑电路 6. 概述 一 时序逻辑电路的特点 逻辑功能特点 : 任一时刻的输出不仅取决于该时刻的输入 还与电路原来的状态有关 电路结构特点 : 例 : 串行加法器

More information

习题

习题 数字通信原理 ( 冯穗力等编著 ) 教辅材料 习题汇编 ( 修订稿 ) 冯穗力余翔宇刘梦华周珮诗等编 4-7- 数字通信原理 习题解答修订说明 本习题是为配合 数字通信原理 第二版的修订稿, 在修订稿中去除了第一 版习题中有欠缺的内容, 补充了部分更有针对性习题, 使其能够更好的与教材的 内容相适配 欢迎各位使用本教材的老师提出进一步的修改意见 冯穗力 4-7- 目 录 第一章绪论... 4 第二章信号分析基础...

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

PowerPoint Presentation

PowerPoint Presentation 第七章集成运放应用电路 7. 理想运放特性及分析方法 7. 比例运算和加减运算电路 7.3 积分运算电路和微分运算电路 7.4 对数运算电路和指数运算电路 7. 5 差动运放电路 7.6 实际运放的误差分析 研究的问题 () 运算电路 : 运算电路的输出电压是输入电压某种运算的结果, 如加 减 乘 除 乘方 开方 积分 微分 对数 指数等 () 描述方法 : 运算关系式 O = ( I ) (3)

More information

李俊新 崔 敏 刘艳春 姚艳君 周广芬 孙 宝 河北科技大学理学院 河北石家庄 滦南县职业教育中心基础部 河北滦南 在物理化学实验的基础上 对一级反应的 种不同数据处理模型进行比较和分析 通过对 实验数据处理模型进行系统的比较 来改善传统实验数据处理中存在的一些问题 从而简化数据处 理 减小作图工作量与作图误差 提升实验水平 提高数据处理结果的准确性 一级反应 数据处理模型 过氧化氢 图 过氧化氢分解实验装置图

More information

南京邮电大学 学年第一学期翻转课堂教学课程安排表 1. 数字信号处理 A( 双语 ) ( 主讲教师 : 王颖翠 B150111~16 班报名重组班 ) 通信原理 A ( 主讲教师 : 卢敏 B 班报名重组班 ) 通信原理 A

南京邮电大学 学年第一学期翻转课堂教学课程安排表 1. 数字信号处理 A( 双语 ) ( 主讲教师 : 王颖翠 B150111~16 班报名重组班 ) 通信原理 A ( 主讲教师 : 卢敏 B 班报名重组班 ) 通信原理 A 南京邮电大学 2017-2018 学年第一学期 翻转课堂教学课程安排表 教务处 二零一七年八月 南京邮电大学 2017-2018 学年第一学期翻转课堂教学课程安排表 1. 数字信号处理 A( 双语 ) ( 主讲教师 : 王颖翠 B150111~16 班报名重组班 )...1-1 2. 通信原理 A ( 主讲教师 : 卢敏 B150101-10 班报名重组班 )... 2-1 3. 通信原理 A (

More information

<4D F736F F D20B8B4B5A9B4F3D1A7CEA2B5E7D7D3D1A7D4BA C4EAD5D0CAD5B7C7C8ABC8D5D6C6B9A5B6C1B9A4B3CCCBB6CABFD7A8D2B5D1A7CEBBD1D0BEBFC9FABCF2D5C2>

<4D F736F F D20B8B4B5A9B4F3D1A7CEA2B5E7D7D3D1A7D4BA C4EAD5D0CAD5B7C7C8ABC8D5D6C6B9A5B6C1B9A4B3CCCBB6CABFD7A8D2B5D1A7CEBBD1D0BEBFC9FABCF2D5C2> 复旦大学微电子学院 2017 年招收非全日制攻读工程硕士专业学位研究生简章 集成电路产业是支撑我国经济社会发展和保障国家安全的战略性 基础性和先导性产业, 已成为我国重大需求的命脉产业 复旦大学国家示范性微电子学院人才培养将以长三角集成电路设计与制造协同创新中心为依托形成集成电路技术创新中心, 建立 与企业紧密结合, 汇聚国际资源, 工程化 职业化 国际化 的集成电路产业紧缺高端人才和产业人才的培养体系

More information

幻灯片 1

幻灯片 1 第一类换元法 ( 凑微分法 ) 学习指导 复习 : 凑微分 部分常用的凑微分 : () n d d( (4) d d( ); (5) d d(ln ); n n (6) e d d( e ); () d d( b); ); () d d( ); (7) sin d d (cos ) 常见凑微分公式 ); ( ) ( ) ( b d b f d b f ); ( ) ( ) ( n n n n d f

More information

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A . () () () () () (A) (B) (C) B (D) (E). (A) (B) (C) E (D) (E) (A) (B) (C) (D). () () () () E (A) (B) (C) (D) (E). C (A) (B) (C) (D) (E). (A) (B) (C) (D) D (E). () - () - () - () - () - D (A) (B) (C) (D)

More information

实验二: 数控直流电压源 实验讲义

实验二: 数控直流电压源 实验讲义 数控直流电压源 实验指导 一 直流稳压电源的基本组成 电子设备中所用到的直流电源, 可以是干电池, 也可以是由电网提供的交流电经过整流 滤波和稳压以后得到的 对于直流电源的主要要求是, 输出电压的幅值稳定, 即当电网电压或负载电流波动时能基本保持不变 ; 直流输出电压平滑, 脉动成分小 ; 交流电变换成直流电时的转换效率高 如下图所示, 一般直流电源由四部分组成, 电源变压器 整流电路 滤波器和稳压电路

More information

发源该选哪一种信号? 为什么? 17) 采用过零检测解调的方法时, 将 f1 和 f2 倍频的电路是如何设计的? 18) 采用过零检测解调的方法时, 解调电路中哪一点的波形是 f1 和 f2 的倍频? 19)2FSK 信号经过整形变成方波 2FSK 信号, 频谱有什么变化? 为什么? 20) 解调时

发源该选哪一种信号? 为什么? 17) 采用过零检测解调的方法时, 将 f1 和 f2 倍频的电路是如何设计的? 18) 采用过零检测解调的方法时, 解调电路中哪一点的波形是 f1 和 f2 的倍频? 19)2FSK 信号经过整形变成方波 2FSK 信号, 频谱有什么变化? 为什么? 20) 解调时 实验三 2FSK 调制与解调实验一 实验目的 1 了解二进制移频键控 2FSK 信号的产生过程及电路的实现方法 2 了解非相干解调器过零检测的工作原理及电路的实现方法 3 了解相干解调器锁相解调法的工作原理及电路的实现方法 二 实验内容 1 了解相位不连续 2FSK 信号的频谱特性 2 了解 2FSK( 相位不连续 ) 调制, 非相干 相干解调电路的组成及工作理 3 观察 2FSK 调制, 非相干

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

合路输入分别输出 32khz 2048khz 1024khz 256khz 信号 B 路输入 A 路输入信号输出输入变换器 4096K 振荡器 二选一 模拟开关 帧同步双向码 单极性非归零双极性非归零 256k 时钟 三五振荡器 FPGA 芯片 D 触发器 四选一模拟开关 单极性归零双极性归零 四选

合路输入分别输出 32khz 2048khz 1024khz 256khz 信号 B 路输入 A 路输入信号输出输入变换器 4096K 振荡器 二选一 模拟开关 帧同步双向码 单极性非归零双极性非归零 256k 时钟 三五振荡器 FPGA 芯片 D 触发器 四选一模拟开关 单极性归零双极性归零 四选 实验一数字基带信号实验 一 实验目的 1 了解单极性码 双极性码 归零码 非归零码 帧同步信号和双向码等基带信号的产生原理及其波形的特点 2 掌握 AMI 码 DB3 码的编码规则 二 实验内容 1 用示波器观察单极性非归零码(NRZ), 传号交替反转码 (AMI), 三阶高密度双极性码 (DB3) 2 改变码序列, 比较其单极性码 AMI 码 DB3 码波形, 并验证是否符合其编码规则 3 观察

More information

! #$ % & ( ) % & ( ) % & ( ) % & ( ) % & ( ) !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! # ################################################### % & % & !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

2019 考研数学三考试真题及答案详解 来源 : 文都教育 一 选择题 :1~8 小题, 每小题 4 分, 共 32 分, 下列每题给出的四个选项中, 只有一个选项是符合题目要 求的. k 1. 当 x 0 时, 若 x - tan x 与 x 是同阶无穷小, 则 k = A. 1. B. 2. C

2019 考研数学三考试真题及答案详解 来源 : 文都教育 一 选择题 :1~8 小题, 每小题 4 分, 共 32 分, 下列每题给出的四个选项中, 只有一个选项是符合题目要 求的. k 1. 当 x 0 时, 若 x - tan x 与 x 是同阶无穷小, 则 k = A. 1. B. 2. C 9 考研数学三考试真题及答案详解 来源 : 文都教育 一 选择题 :~8 小题 每小题 4 分 共 分 下列每题给出的四个选项中 只有一个选项是符合题目要 求的. k. 当 时 若 - ta 与 是同阶无穷小 则 k = A.. B.. C.. D. 4. k - ta - 若要 - ta 与 是同阶无穷小 \ k = \ 选 C 5. 已知方程 - 5 + k = 有 个不同的实根 则 k 的取值范围为

More information

课程简介

课程简介 第三章双极型晶体管及 其基本放大电路 郭圆月 2014 年 10 月 9 日 本章主要内容 3.1 双极型晶体管 3.2 JT 基本放大电路直流分析方法 3.3 JT 基本放大电路交流分析方法 3.4 三种组态放大器的中频特性 3.5 单级共发放大器的频率特性 3.6 多级放大电路 2 3.1 双极型晶体管 1. 结构与功能 2. 放大工作原理 3. bers-moll 数学模型 4. 静态工作伏安特性曲线

More information

2 A

2 A 1 2 A 3 AB 8 11 12 13 14 15 16 4 5 6 21 200 (l)20 (2)15 (3)10 7 8 9 10 11 11 12 14 15 12 13 14 15 16 17 18 19 20 21 17 18 203500 1500 500 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42

More information

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63>

<4D F736F F D20BCAFB3C9B5E7C2B7D3EBD6C7C4DCCFB5CDB3B4B4D0C2BBF9B5D8B2E2CAD4CCE22E646F63> 集成电路与智能系统创新基地测试题 (2009 暑期 ) 班级姓名电话 email: 模拟电子技术部分 一 电路如图所示 设 A ~A 4 为理想运放, 三极管 T 的 V CES =0,I CEO =0.A ~A 4 各组成什么电路? 2. 设 t = 0 时, 电容器上的初始电压 v C (0) = 0 求 t = s 和 t = 2 s 和 E 各点对地的电压 时,A B C D.A 组成减法运算电路,A

More information

7. 下列矩阵中, 与矩阵 相似的为. A.. C.. B.. D. 8. 设 AB, 为 n 阶矩阵, 记 rx ( ) 为矩阵 X 的秩,( XY?) 表示分块矩阵, 则 A. r( A? AB) r( A). B. r( A? BA) r( A). C. r A B r A r B (? )

7. 下列矩阵中, 与矩阵 相似的为. A.. C.. B.. D. 8. 设 AB, 为 n 阶矩阵, 记 rx ( ) 为矩阵 X 的秩,( XY?) 表示分块矩阵, 则 A. r( A? AB) r( A). B. r( A? BA) r( A). C. r A B r A r B (? ) 8 数二真题 一 选择题 :~8 小题, 每小题 4 分, 共 分. 下面每题给出的四个选项中, 只有一个选项 是符合题目要求的.. 若 lim( e a b), 则 A. a, b. B. a, b. C. a, b. D. a, b.. 下列函数中, 在 处不可导的是 A. f ( ) sin. B. f ( ) sin. C. f ( ) cos. D. f ( ) cos. a,,,,. 设函数

More information

数字逻辑与数字系统

数字逻辑与数字系统 数字逻辑与数字系统 胡伟邮箱 :whu@nju.edu.cn http://ws.nju.edu.cn/~whu 一 目的要求 数字逻辑是电子计算机技术的基础课程之一, 通过本课程的学习, 达到要求 : 1. 掌握数字电子技术的基本理论, 基础知识和基 本技能 2. 熟悉数字集成电路的工作原理, 特性和功能 3. 具备正确运用数字集成电路的能力 4. 掌握逻辑电路的分析方法和设计方法 二 与其他课程的关系

More information

P 型半导体 N 型半导体 P 区 ( 中性区 ) N 区 ( 中性区 ) 负离子区 空间电荷区耗尽层阻挡层势垒区 正离子区 电中性 2) 特性 伏安特性 单向导电性 ( 正向导通 反向截止 ) 什么是正向偏置? 什么是反向偏置? 在正向偏置下, 空间电荷区如何变化? 在反向偏置下, 空间电荷区又如

P 型半导体 N 型半导体 P 区 ( 中性区 ) N 区 ( 中性区 ) 负离子区 空间电荷区耗尽层阻挡层势垒区 正离子区 电中性 2) 特性 伏安特性 单向导电性 ( 正向导通 反向截止 ) 什么是正向偏置? 什么是反向偏置? 在正向偏置下, 空间电荷区如何变化? 在反向偏置下, 空间电荷区又如 模拟电子技术 1 至 4 章小结 前面五章的内容结构非常明确, 第一章介绍构成电子线路的半导体器件, 第二章是由基本元器件 三极管和场效应管构成的基本放大电路来实现信号的放大功能, 第三章为基本放大电路级联而成的多级放大电路 第二 三章的内容是来构成分立电路, 而第四章内容就涉及到了集成电路 ( 把分立电路集成到一片硅片上, 利用一个集成芯片来构成具有一定功能的集成电路 ) 前面四章的内容都不考虑电路性能与输入信号频率之间的关系,

More information

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩

先进制造技术是近几年提得较多,叫得较响的一个专用词语,而且先进制造技术在机械制造业领域中的应用越来越广泛而深入,并取得了很大的成绩 面向 21 世纪全国高职高专通信工程类规划教材 现代通信原理与技术简明教程 张平川主编 吴保奎王学立蔡卫平副主编侯伯民赵建国 内容简介 本书分三大部分, 第一部分全面系统介绍了现代通信的基本概念和基本原理, 包括模拟和数字两大部分, 以数字通信为主, 同时介绍了一些新的调制解调技术以反映通信技术的最新发展 ; 第二部分以现代数字通信系统为背景, 介绍了一些常用的通信系统 ; 第三部分对有关通信原理方面的实验与实训指导进行了详细介绍

More information

第2章 放大器基础

第2章    放大器基础 第 7 章直流稳压电源 在大多数电子设备中, 几乎都需要直流稳压电源 一般较小功率的直流电源均是用市电经整流 滤波和稳压后获得 整流, 即是将交流电压变为脉动直流电压 ; 滤波, 即是除去脉动直流电压中的交流成分, 使之成为平滑的直流电压 ; 稳压, 即是减小电源电压波动 负载变化和温度变化的影响, 以维持输出电压的稳定 由于集成稳压电路具有体积小 重量轻 工作可靠等优点, 因而, 应用越来越广泛

More information

目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求

目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求 电子线路 ( 非线性部分 ) 实验指导书 2015 年 6 月 目录 1 实验要求 2 实验指导书 3 实验总结报告撰写要求 1 实验要求 (1) 认真复习实验所需知识 (2) 按时完成预习报告, 预习报告不合格不能进行实验 (3) 提前到达实验室, 迟到要扣分, 迟到 30 分钟以上者不能参加本次试验, 本次试验成绩为零 (4) 认真听讲 (5) 保持实验室秩序和卫生, 试验结束以后整理试验台和实验仪器,

More information

数字电子技术与微处理器基础

数字电子技术与微处理器基础 数字电子技术与微处理器基础 ( 第 8 讲 ) 主讲 : 张国钢副教授西安交通大学电气工程学院 27 年春 4 锁存器和触发器 4. 基本概念 4.2 锁存器 4.3 触发器 27-3-2 4. 基本概念 Astable region 锁存器 (latch) 触发器 (Flip-Flop, 简称为 FF) 作用 : 都具有保存一位二值信息的功能 ; 特点 : 2 是时序逻辑电路的基本单元电路 有两种能自行保持的稳定状态,

More information

模拟电子技术基础

模拟电子技术基础 第八章波形的发生和信号的转换 华成英 hchya@tsinghua.edu.cn 第八章波形的发生和信号的转换 8. 正弦波振荡电路 8.2 电压比较器 8.3 非正弦波发生电路 8.4 信号的转换 8. 正弦波振荡电路 一 正弦波振荡的条件和电路的组成二 C 正弦波振荡电路三 LC 正弦波振荡电路四 石英晶体正弦波振荡电路 一 正弦波振荡的条件和电路的组成. 正弦波振荡的条件 无外加信号, 输出一定频率一定幅值的信号

More information

实验内容 : 熟悉 5253C 2G/3G/4G 通信模拟器和 5252A 基站综合测试仪操作方法和步骤 ; 配置 MSK/FSK 调制信号, 记录解调结果 ; 调制信号误差矢量幅度的测量与分析 实验二 : 通用 PSK 数字调制解调实验 实验目的 : 学生通过对仪表的操作熟悉 PSK 调制信号的配

实验内容 : 熟悉 5253C 2G/3G/4G 通信模拟器和 5252A 基站综合测试仪操作方法和步骤 ; 配置 MSK/FSK 调制信号, 记录解调结果 ; 调制信号误差矢量幅度的测量与分析 实验二 : 通用 PSK 数字调制解调实验 实验目的 : 学生通过对仪表的操作熟悉 PSK 调制信号的配 移动通信测试系统. 9208B 移动通信实验教学系统 产品概述 随着现代科学技术的发展, 无线移动通信已成为国防现代化 国民经济建设以及人们日常生活中必不可少的一部分, 应用极为广泛 在航空航天技术领域中, 通信是信息传递 控制指令 测试数据等各种重要信息的传输工具 随着卫星通信 移动通信 个人通信等技术的飞速发展, 培养具有通讯理论和实际知识的人才也成为学校和社会共同的迫切需要 然而, 仅仅靠学习理论来培养人才明显是不够的

More information

学年第一学期临潼校区第二十周期末考试安排 ( 四 ) 考试时间 课程名称 班级 人数 考试地点 1 月 17 日 10:00-12:00 中级英语写作 Ⅰ 英语 17 级 1 班 29 D 月 17 日 10:00-12:00 英语阅读 Ⅰ 英语 18 级 1 班

学年第一学期临潼校区第二十周期末考试安排 ( 四 ) 考试时间 课程名称 班级 人数 考试地点 1 月 17 日 10:00-12:00 中级英语写作 Ⅰ 英语 17 级 1 班 29 D 月 17 日 10:00-12:00 英语阅读 Ⅰ 英语 18 级 1 班 1 月 17 日 10:00-12:00 中级英语写作 Ⅰ 英语 17 级 1 班 29 D-182 1 月 17 日 10:00-12:00 英语阅读 Ⅰ 英语 18 级 1 班 30 D-184 1 月 17 日 10:00-12:00 综合英语 Ⅲ 汉语国际教育 17 级 1 班 32 D-186 1 月 17 日 10:00-12:00 英语写作 (B) 汉语国际教育 16 级 1 班 38

More information

北京邮电大学硕士研究生入学考试通信原理复习备考资料 本科习题选 雪山灰虎整理 2012 年 3 月 使用说明 : 除通信原理习题集和历年真题外, 真正适合北邮通信原理考研复习的练习题很少 而实际上北邮通信原理还有一些可用的练习题, 如期中期末考试题等 但是

北京邮电大学硕士研究生入学考试通信原理复习备考资料 本科习题选 雪山灰虎整理 2012 年 3 月   使用说明 : 除通信原理习题集和历年真题外, 真正适合北邮通信原理考研复习的练习题很少 而实际上北邮通信原理还有一些可用的练习题, 如期中期末考试题等 但是 北京邮电大学硕士研究生入学考试通信原理复习备考资料 本科习题选 雪山灰虎整理 01 年 3 月 http://www.bytxyl.cn/ 使用说明 : 除通信原理习题集和历年真题外, 真正适合北邮通信原理考研复习的练习题很少 而实际上北邮通信原理还有一些可用的练习题, 如期中期末考试题等 但是这些练习题并不是为考研而准备, 因此无论是涉及的内容, 出题的整体风格, 题目的综合性等都与考研要求有一些偏差,

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

精勤求学自强不息 Bor to w! (4) 设函数 s k l( ) 收敛, 则 k ( ) (A) (B) (C)- (D)- 答案 C k s k l( ) o( ) k o( ) 6 k ( k) o( ) 6 因为原级数收敛, 所以 k k. 选 C. (5) 设 是 维单位列向量, E

精勤求学自强不息 Bor to w! (4) 设函数 s k l( ) 收敛, 则 k ( ) (A) (B) (C)- (D)- 答案 C k s k l( ) o( ) k o( ) 6 k ( k) o( ) 6 因为原级数收敛, 所以 k k. 选 C. (5) 设 是 维单位列向量, E Bor to w 7 年全国硕士研究生入学统一考试数学三试题解析 一 选择题 :~8 小题, 每小题 4 分, 共 分, 下列每小题给出的四个选项中, 只有一项符合题目要求 的, 请将所选项前的字母填在答题纸... 指定位置上. cos () 若函数 f ( ) a b,, 在 处连续, 则 ( ) (A) ab (B) ab (C) ab (D) ab 答案 A cos lm lm, f ( )

More information

山东2014第四季新教材《会计基础》冲刺卷第二套

山东2014第四季新教材《会计基础》冲刺卷第二套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 2 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

电子技术习题解答汇总

电子技术习题解答汇总 P55 晶体管起放大作用的外部条件, 发射结必须正向偏置, 集电结反向偏置 晶体管放大作用的实质是利用晶体管工作在放大区的电流分配关系实现能量转换. 晶体管的电流分配关系 晶体管工作在放大区时, 其各极电流关系如下 : I βi I = I I = ( β ) I E β I I = β = I I. 晶体管的特性曲线和三个工作区域 () 晶体管的输入特性曲线 : 晶体管的输入特性曲线反映了当 UE

More information

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3 3/8/005 3G 4 3/8/005 3G 5 3/8/005 3G 6 3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G a(i) f f f f A B A B a(ii) f f f 3 f f f 3 A B C A B

More information

第十五章 脉冲波形的产生和整形

第十五章  脉冲波形的产生和整形 第十五章脉冲波形的产生和整形 第十五章脉冲波形的产生和整形... 9 第一节概述... 9 5.. 脉冲电路的分析... 94 5.. 电路的应用... 95 第二节单稳态触发器... 98 5.. 用门电路组成的单稳态触发器... 98 5.. 集成单稳态触发器... 40 5.. 单稳态触发器的应用... 404 第三节多谐振荡器... 405 5.. 自激多谐振荡器... 405 5.. 环形振荡器...

More information

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63>

<433A5C C C B746F705CCEEFC1AACDF8D7A8D2B5CAB5D1E9BDCCD1A7CABEB7B6D6D0D0C45CCAB5D1E9D6B8B5BCCAE9D3EBCAB5D1E9B4F3B8D95C3133BCB6CAFDD7D6B5E7C2B7CAB5D1E9B1A8B8E6CAD6B2E131202E646F63> 福建师范大学协和学院 实验报告 课程名称 : 数字电子技术 系 别 : 信息技术系 专业 : 班级 : 学号 : 学生姓名 : 2014 年 9 月 1 日 实验项目列表 序号实验项目名称学时成绩指导教师 1 TTL 集成逻辑门的逻辑功能与参数 2 测试 2 组合逻辑电路的设计与测试 2 3 译码器和数据选择器 2 4 RS D JK 触发器 2 5 时序逻辑电路的测试及研究 2 6 计数器 MSI

More information

第1章

第1章 通信原理 西安电子科技大学通信工程学院 ISN 刘龙伟 二零一一年 通信原理 2011 年 课程介绍及评分办法 教材 : 通信原理 樊昌信 曹丽娜编著 著 通信原理学习指导 张辉 曹丽娜编 本课程着重概念和系统介绍, 主要涉及通信课本第 1 3 4 5 6 7 9 10 13 章 评分办法 : 考试成绩占 85% 以上 ; 课程共 60 课时 ; 说 明 课程课件索取 课程问题 联系等请发信到 sunllw@163.com

More information

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V

不变, 分别增大和减小 W, 使波形出现失真, 绘出 U o 的波形, 并测出失真情况下的 I C 和 U CE 值, 记入表 2.4 中 每次测 I C 和 U CE 值时要使输入信号为零 ( 即使 u i =0) 表 2.4 C=2.4k, L=,U i= mv I C /ma U CE /V 3. 测量电压放大倍数调节一个频率为 1kHz 峰- 峰值为 50mV 的正弦波作为输入信号 U i 断开 DTP5 接地的线, 把输入信号连接到 DTP5, 同时用双踪示波器观察放大器输入电压 U i (DTP5 处 ) 和输出电压 U o (DTP25 处 ) 的波形, 在 U o 波形不失真的条件下用毫伏表测量下述三种情况下 :1 不变实验电路时 ;2 把 DTP32 和 DTP33 用连接线相连时

More information

Microsoft PowerPoint - 第八讲-12.pptx

Microsoft PowerPoint - 第八讲-12.pptx 4-6-8 三 随机信号的相干函数 两个随机信号 和 的相干函数定义为 : 若 和 为一线性系统的输入与输出, 则有 : 5 若 和 不相关 = = 相干函数在频率域表征两个随机信号各频率成份的互相关联程度 相干函数大于 而小于 存在两种情况 : 5 相干函数大于 而小于, 存在两种情况 : 连续 和 的系统是非线性的 测量值中含有噪声, 即 和 是信号和噪声的叠加不相关与噪声信号不相关与噪声信号,,

More information

2016 暨南大学 823 电子技术基础考研专业课复习全书 编辑推荐 五洲暨大考研网是聚英教育旗下子品牌, 专业做暨南大学考研专业课辅导资料, 以其严谨 专业 信息精准等广受考研学子称赞 五洲暨大考研网秉持专注 责任 诚信原则, 倾情推出了 暨南大学考研专业课复习全书 系列丛书, 本书系统全面总结考

2016 暨南大学 823 电子技术基础考研专业课复习全书 编辑推荐 五洲暨大考研网是聚英教育旗下子品牌, 专业做暨南大学考研专业课辅导资料, 以其严谨 专业 信息精准等广受考研学子称赞 五洲暨大考研网秉持专注 责任 诚信原则, 倾情推出了 暨南大学考研专业课复习全书 系列丛书, 本书系统全面总结考 五洲暨大考研网坚持 专业人做专业事, 为广大考研学子奉献 专业作品! 推荐指数 : 基本信息 教材名称 2016 暨南大学 823 电子技术基础考研专业课复习全书 ( 含真题与答案 ) 编著 聚英教育组编 页数 308 页 字数 128 千字 开本 16 开 出版日期 2015 年 3 月 购买网址 http://www.passjnu.com/product-118.aspx 咨询电话 :0592-2060051,2060052

More information

模拟电子电路分析与应用 二 任务学习情境 简易充电器电路分析与制作 名称 输出电压为 6V 的并联型直流稳压电源的制作 简易充电器电路的分析与制作 内容 1. 熟悉电路各元件的作用. 根据电路参数进行元器件的检测 要求 3. 电路元件的安装 4. 电路参数测试与调整 5. 撰写电路制作报告 相关知识

模拟电子电路分析与应用 二 任务学习情境 简易充电器电路分析与制作 名称 输出电压为 6V 的并联型直流稳压电源的制作 简易充电器电路的分析与制作 内容 1. 熟悉电路各元件的作用. 根据电路参数进行元器件的检测 要求 3. 电路元件的安装 4. 电路参数测试与调整 5. 撰写电路制作报告 相关知识 1 简易充电器电路分析与制作 任务描述 交流电是使用最方便的电源, 但日常生活中有些电气设备直接或间接使用直流电源才能工作, 这就需要一种能够提供直流电源的装置, 这种装置称为直流稳压电源 本任务按照并联型直流稳压电源的组成制作一个用稳压二极管稳压的并联型直流稳压电源 一 任务目标 1. 知识目标 (1) 熟悉二极管的结构 符号 分类与特性 () 了解电容滤波的原理 (3) 了解集成三端稳压器的型号含义

More information

! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $ %% " $ "--/

!  #   $ %   # #  $  #  #!  $ ! # # # #! &$! ( % !!! )$ %  (!!!! *$ ( %  (!!!! +$ %  #! $!, $ $ $ $ $ $ $, $ $ --. %/ % $ %%  $ --/ "##$ "% "##& " "##( )$ "##%! ) "##$ * "##( "##$ "##(!!!!!!!!! ! " # " " $ % " " # # " $ " # " #! " $ "!" # "# # #! &$! ( % "!!! )$ % " (!!!! *$ ( % " (!!!! +$ % " #! $!, $ $ $ $ $ $ $, $ $ "--. %/ % $

More information

<CAFDD7D6B5E7D7D3BCBCCAF546592E733932>

<CAFDD7D6B5E7D7D3BCBCCAF546592E733932> 社 心 版 中 出 版 学 n 出 c 科 术 k. o 技 o b 教 a 职 ww. w 高等职业教育 十一五 规划教材 高职高专机电类教材系列 数字电子技术 邱丽芳主编王皑副主编谭耀辉主审 北京 内容简介 全书共 9 章, 介绍了数字电路的基础知识 逻辑代数基础 逻辑门电路 组合逻辑电路 集成触发器 时序逻辑电路 脉冲电路 数模和模数转换和半导体存储器, 涵盖了数字电子技术的全部内容, 各章配有小结及习题

More information

Microsoft PowerPoint - Chap_4.ppt

Microsoft PowerPoint - Chap_4.ppt 组合逻辑电路 第四章组合逻辑电路 梁华国电子科学与技术系 http://dwxy.hfut.edu.cn/ 概述 组合逻辑电路分析 组合逻辑电路设计 考虑特殊问题的逻辑设计 若干常用的组合逻辑电路 组合逻辑电路中的竟争 - 冒险 概述 组合逻辑电路 组合逻辑电路的定义 : 是指电路在任何时刻产生的稳定输出信号, 仅取决于该时刻电路的输入信号 a a a n 组合逻辑电路 y y y f a a a

More information

第九章

第九章 2.5 Internet 3G 9.1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 1 2 4 9 9.2 GSMCDMA3G 1G GSMTDMA 2G 2.5 CDMA 3G GSM GSM900MHz GSM1800MHz GSM1900MHz CDMA Code

More information

通原多媒体(第五章)

通原多媒体(第五章) 通信原理 刘龙伟 二零一一年 通信原理 第五章 数字基带传输系统 前言 本章在了解数字基带信号的特性, 包括波形 码型和频谱特性的基础上, 重点研究如何设计基带传输总特性, 以消除码间干扰和如何有效地减小信道加性噪声的影响, 以提高系统抗噪声性能 然后介绍一种利用实验手段方便地估计系统性能的方法 眼图, 并提出改善数字基带传输性能的两个措施 : 1. 部分响应 2. 时域均衡 第五章数字基带传输系统

More information

LN htm

LN htm kaoyan.o Leture Note 8 004/10/1 幅度调制 一 Analog Signal and Analog Couniation Syte 模拟信号 在模仿信源的物理变化 所以被称作模拟信号 的数学特征一般是 : 时间连续 取值连续注意此处的连续和数学中连 续函数的连续所指不同我们的意思是说 : 的定义域以及值域都是连续区间 而不是有限点集或者可列点集与连续对应的是离散 我们把定义域是离散

More information

ThemeGallery PowerTemplate

ThemeGallery PowerTemplate 第 6 章同步技术 概述 载波同步 载波同步系统的性能 位同步 ( 码元同步 ) 位同步系统的性能 群同步 ( 帧同步 ) 本章内容 6.1 概述 同步问题是进行数字通信的前提和基础, 同步性能的好坏直接影响着通信系统的性能 按照同步的功能可分为四种同步方式 : 载波同步 : 当采用相干解调时, 在接收端需要恢复出一个与发射端调制载波同频同相的相干载波, 这个载波的获取就为载波同步 x(t) y(t)

More information