Microsoft Word new.doc

Size: px
Start display at page:

Download "Microsoft Word new.doc"

Transcription

1 運算放大器 運算放大器 (operational amplifier, 簡稱 OPAMP) 可說是類比電路中用途最廣 功能最多的一種 IC 運算放大器的種類繁多, 一顆 IC 中通常包含數十個電晶體, 例如常見的 74C 運算放大器由 4 個電晶體所構成 雖然 OPAMP 的內部構造相當複雜, 但是就其輸出與輸入的關係來看卻十分簡單, 因此, 只要注意到 OPAMP 的一些限制, 分析或設計 OPAMP 的應用電路通常是件輕鬆愉快的工作 因為 OPAMP 使用容易又變化多端, 而且十分便宜 ( 一顆 74C 約 5 塊錢台幣 ), 可說是一個物美價廉的小 魔術盒 (magic box) 另外,OPAMP 主要應用於線性電路中 ( 有不少例外!), 也就是類比訊號 ( 如聲音 溫度 壓力 速度 或是正弦波等 ) 的放大器 雖然獨立的電晶體也可製作類比放大器 ( 這也是一般電子學教科書的重點 ), 但是一般的電路中, 使用 OPAMP 或是其他線性 IC 做為類比放大器的核心是較簡易 可靠 而且廉價的選擇 V CC Input 7 4 Output V EE (a) (b) (c) 圖 :OPAMP 的符號與外觀 (PDIP 包裝 ) 9

2 . 基本模型 運算放大器除了電源端子外, 有一個輸出端, 兩個輸入端 : 稱為正相 () 輸入端與反相 () 輸入端, 元件符號如圖 所示 為了簡化圖面, 一般電路圖中常省略兩個電源接腳, 如圖 b 圖 是 OPAMP 的基本模型 : 從輸入端看進去,OPAMP 相當是個電阻 ( 實際上還有並聯的電容, 但是對於低頻訊號可忽略電容存在 ), 稱之為輸入阻抗 (input impedance)( 以 IN 表示 ); 從輸出端往回看, 是一個由輸入訊號控制的電壓源與一個電阻串聯, 此電壓源與輸入端電位差成正比, 兩者的比值稱為電壓放大倍率 (voltage gain)( 以 A o 表示 ), 而串聯的電阻稱為輸出阻抗 (output impedance)( 以 OUT 表示 ) 正相與反相兩輸入端的電位差一般用 V error 表示, 因此輸出電壓與 V error 的關係為 V OUT = A V () o error V error IN OUT A o V error 圖 :OPAMP 的模型 如圖 這樣簡單的模型也可用來描述一般的放大器, 而 OPAMP 特殊之處在於輸入阻抗與放大倍率很高, 而輸出阻抗則非常小 ( 相較於輸入阻抗 ) 例如,74C 的輸入阻抗為 MΩ, 放大倍率為 00,000, 輸出阻抗為 75Ω 稍等! 輸出電壓高達輸入值的十萬倍,OPAMP 想必是個危險裝置? 其實, 在 OPAMP 的世界裡, 放大倍率十萬並不搶眼, 許多 OPAMP 的放大倍率超過一百萬! 而 OPAMP 的放大倍率與電晶體的電流增益值 (β dc ) 一樣, 愈大愈理想 大部分的 OPAMP 應用電路中輸出訊號會回授到反相 97

3 輸入端, 使實際的放大倍率大為降低 ( 一般在數百以內 ), 而其他操作性能如穩定性 線性度等則大幅提昇 另外,OPAMP 輸出端的電壓無法超過外加電源 (V CC 與 V EE ) 的電壓值 ( 例如 ± 5 V), 而輸出電流也有所限制 ; 換句話說,OPAMP 的輸出電壓與電流必須在一定的範圍內, 圖 的模型才有效 問題 對於 74C, 若正相輸入端電壓為 V, 反相輸入端電壓為 5 V, 則輸出電壓若干? 若正相輸入端電壓為 5 V, 反相輸入端電壓為 V, 則輸出電壓若干? 訊號源 放大器 放大器 V IN S V S IN OUT L 圖 : 輸入阻抗與訊號源 圖 4: 輸出阻抗與負載. 輸入阻抗與輸出阻抗 描述一個放大器的特性除了放大倍率之外, 輸入阻抗與輸出阻抗的值同樣關係重大 電壓放大器的輸入阻抗愈大愈好, 而輸出阻抗則愈小愈好 原因是一般訊號源 ( 欲放大的訊號 ) 本身具有相當的輸出阻抗 ( 或稱為內電阻, S ), 當訊號源接至放大器的輸入端時, 如果放大器的輸入阻抗與訊號源的內電阻相當或是更小, 則輸入端的電壓將顯著下降, 如圖 所示 如果放大器的輸入阻抗遠大於訊號源的內電阻, 由分壓定理可知輸入端的電壓會與訊號源本身的電壓相當接近 另外, 如果訊號源輸出的電流有限 ( 例如波形產生器 ), 高輸入阻抗可避免電流超過額定值而導致訊號失真 另一方面, 低輸出阻抗代表放大器輸出電壓的剛性較大 如圖 4 所 98

4 示, 當負載的電阻與放大器的輸出阻抗相當或是更低時, 一旦接上負載, 輸出電壓將明顯下降 ( 分壓定理 ), 這種現象稱為負載效應 (loading effect); 如果輸出阻抗遠低於負載電阻, 則輸出電壓可避免因負載效應而下降 OPAMP 回授之後可將輸入阻抗增大, 輸出阻抗降為 0( 幾乎 ) 但是要注意 OPAMP 的輸出電流不能超過額定值, 否則一切美好的特性都將暫時消失 ( 因為有過電流保護,OPAMP 不至於燒毀 ) 問題 如何利用一個理想電壓源 ( 內電阻可忽略之電壓源 ) 與一個電阻量測放大器的輸入阻抗 ( 提示 : 圖 ) 上題中, 如果電壓源的內電阻未知, 如何量測放大器的輸入阻抗? 4 如何量測放大器的輸出阻抗?( 提示 : 利用一個可變電阻 ). 負回授 絕大部分 OPAMP 的應用均有回授, 而且大都是負回授 (negative feedback) 所謂負回授是指 OPAMP 反相輸入端與輸出端之間以電阻 ( 或電容 ) 相連, 因此反相輸入端之電壓與輸出訊號相互影響 4 : 當輸出 ( 或負載 ) 電壓或電流上升時, 負回授的機制有壓抑電壓或電流上升的趨勢 ; 當輸出電壓下降時, 負回授有抑制電壓下降的趨勢 沒有回授的 OPAMP 稱為開迴路 (open loop), 回授之後稱為閉迴路 (closed loop) 因為 OPAMP 的放大倍率非常高, 因此開迴路時輸出電壓只有兩個可能的值 : 正飽和 ( 比正的電源電壓 V CC 略小 ) 與負飽和 ( 比負的電源電壓 V EE 略小 ) 而負回授之後, 輸出電壓可在正負飽和之間隨輸入訊號的大小任意 ( 而且按照比例 ) 變化 利用負回授的技術, 我們能夠輕易將 OPAMP 改裝成各種高性能的線性放大器! 4 大部分的負回授電路中, 負載的電壓或電流訊號與 OPAMP 的反相輸入端相連, 但是當有數個放大元件 ( 包括 OPAMP 與電晶體 ) 串接時, 負載有可能與 OPAMP 的正相輸入端連接 99

5 V error I in V error I in V IN A I I 圖 5: 負回授電路 圖 5 是一個負回授的例子, 讓我們利用這個電路說明 OPAMP 閉迴路電路的基本特性 OPAMP 負回授後具有以下兩個性質 : () 因為 A o 很大, 因此反相輸入端的電壓會自動調整並且趨近正相輸入端的電壓, 穩定之後正反兩輸入端的電位差可忽略, 亦即 V error = 0 () () 因為 IN 很大, 因此流進或流出 OPAMP 輸入端的電流可忽略, 亦即 I in = 0 () 利用上面兩條 金科玉律 5, 我們可以很容易求出圖 5 的放大倍律 首先, 由 () 可得 ( 見圖 5b) 因此 V A = V IN I = V IN 5 這兩條 金科玉律 並不是定理或定律, 引用時仍需留意例外情況 00

6 由 () 可推論 I = I, 因此 VIN VOUT = II( ) = ( ) 所以此負回授電路的放大倍率為 A CL = V V OUT IN = 其中下標 CL 代表回授之後 ( 亦即閉迴路,closedloop) 的值 例如, 若 = kω, = 99 kω, 則放大倍率 Α CL = 00 只要改變 或 的值就可調整此放大器的倍率 在此我們可以檢查 () 的誤差有多少 : 如果 V IN = 0 mv, 則 = V, 由 () 可求得 V error = /A o =0 5 V ( 假設使用 74C) 因此,V error 的值為 V IN 的千分之一 換句話說, 對於圖 5 的負回授電路, 引用 () 所產生的誤差約為千分之一! V IN 圖 : 同相放大器.4 同相放大器 上一節所舉的負回授電路稱為同相放大器 (noninverting 實際上的誤差可能較大, 原因主要來自 OPAMP 本身的瑕疵, 詳見.7 節 0

7 amplifier 7 ), 這是因為當輸入電壓為正時, 輸出訊號亦為正值 ; 輸入值為負時, 輸出值亦為負, 如圖 所示 同相放大器的放大倍率 A CL 遠低於開迴路時的放大倍率 A o, 但是 A o 如同電晶體的電流增益 (β dc ) 一樣是個不確定的值, 回授之後的放大倍率 (A CL ) 卻十分穩定而且可靠 另外, 回授之後除了放大倍率改變之外, 輸入阻抗與輸出阻抗也同時改變 我們用 IN(CL) 代表閉迴路的輸入阻抗, 用 OUT(CL) 代表閉迴路的輸出阻抗 ( 見圖 7) 閉迴路與開迴路的參數變化如下 : V IN OUT(CL) IN(CL) ACL V IN 圖 7: 閉迴路放大器模型 令 B = ( = ) A CL 則 IN(CL) = A B) (4) ( o IN OUT(CL) = ( ) OUT (5) A B o 例如, 使用 74C 時, 若 A CL =00, 則 B=0.0, 因為 A o =00,000, IN = MΩ, OUT = 75 Ω, 因此 IN(CL) = 00 MΩ, OUT(CL) = Ω 注意到因為開迴路的參數並不是確定值, 因此所求得的閉迴路輸入與輸出阻抗只是個大略數值 重要的是, 同相放大器的輸入阻抗非常大, 遠大於回授前的值 ; 而輸出阻抗則遠低於回授前的值, 很接近 0 對於電壓放大器而言, 這是非常理想的特性 7 直譯為 非反相 放大器 0

8 V IN 圖 8: 電壓隨耦器由 (4) 與 (5) 可知同相放大器的放大倍率愈低 (B 愈大 ), 則輸入阻抗愈大, 輸出阻抗愈小 圖 8 是一個放大倍率等於 的同相放大器, 因為 B = /A CL =, 因此輸入與輸出阻抗分別為 IN(CL) = ( Ao) IN Ao IN OUT(CL) = ( A o ) OUT A OUT o 這個放大器似乎並無任何電壓放大的效果, 但是卻有天文數字般的輸入阻抗, 可視為開路, 而輸出阻抗則幾乎為 0( 幾乎 兩字省略無妨) 此放大器稱為電壓隨耦器 (voltage follower), 主要功用是將訊號源與負載隔離, 避免負載效應 ( 見. 節 ) 例如, 圖 9a 中, 分壓電路的輸出端一但與負載連接, 因為電流流出, 輸出電壓顯著下降 ( 圖 9b); 當負載與輸入訊號間加入電壓隨耦器後 ( 圖 9c), 分壓電路的輸出電壓可不受負載影響, 保持恆定 因為這種隔離作用, 電壓隨耦器可稱為一種緩衝器 (buffer), 避免輸入訊號受負載干擾, 而輸出電壓也可不隨負載改變而改變 5 V 5 V 5 V kω kω kω 5 V kω.4 V 5 V kω 500 Ω kω 5 V 500 Ω (a) 接負載前 (b) 接負載後 (c) 加入緩衝器 圖 9: 負載效應與緩衝器 電壓隨耦器與第 4 章介紹的射極隨耦器功能類似, 但是前者性能更佳 不過, 要注意 OPAMP 的輸出電壓與電流必須在額定值之內, 否則前述之優異特性不復存在 ( 詳見.7 節 ) 0

9 問題 5 74C 的輸出額定電流為 5 ma, 圖 9c 中, 負載的電阻值最小可為若干? 圖 9b 中, 負載的電流由訊號源 ( 分壓電路 ) 供應, 而圖 9c 中, 負載的電流並非來自訊號源, 此電流從何而來? I F F V IN S I S 虛擬接地 (virtual ground) (a) 圖 0: 反相放大器 (b).5 反相放大器 圖 0 是另一種負回授電路 因為正相輸入端接地, 因此由 () 可推論反相輸入端的電位也等於 0, 這是分析此電路的關鍵! 接下來根據歐姆定律, I V 0 IN S = (5) S 而 I F = I S ( 為什麼?), 因此 V OUT = 0 I = ( F S F V = 0 ( IN S F )V ) IN F ( 歐姆定律 ) ( 由 ( 5)) 此電路的放大倍率為 F / S 其中負號代表輸出電壓與輸入電壓的相位相反 : 當 V IN 為正時, 為負值, 反之亦然 因此這個負回授電路稱為 反相放大器 (inverting amplifier) 此電路中, 反相輸入端雖然沒有直接接地, 但是電位卻始終保持為 0, 因此 ( 反相輸入端 ) 稱為 虛擬接地 (virtual 04

10 ground 8 )( 圖 0b) 反相放大器的輸出阻抗與同相放大器一樣很小, 亦即 OUT(CL) 0, 但是輸入阻抗則有很大差別 因為反相輸入端相當於接地狀態 ( 但不可真的接地!), 回授之後的輸出與出入關係可用圖 表示 因此, 反相放大器的輸入阻抗等於 S 從另一個角度來看, 輸入阻抗的定義為輸入電壓與輸入電流的比值, 因此由 (5) 可得 V V IN IN IN (CL) = = IIN IS S V IN S OUT(CL) ( 0) ( F / S )V IN 波形產生器 00 圖 : 反相放大器的等效電路 圖 問題 7 圖 中, 波形產生器輸出訊號的振幅量得 00 mv( 未接任何負載時 ), 接上放大倍率 00 的反相放大器後輸出端所量得的振幅卻只有 500 mv, 可能的原因為何? 如何改善?( 提示 : 波形產生器的輸出電流有限 ) 反相放大器的輸入阻抗雖然遠小於同相放大器 ( 顯然是個缺點 ), 實務上反相放大器的使用率卻不遜於同相放大器 原因大致有三 :. 同相放大器可由兩個反相器串接而成, 但是再多的同相放大器也無法組成一個反相放大器 ;. 反相放大器中,OPAMP 兩個輸入端電壓均為 0, 因此 OPAMP 8 英文中 virtual 並沒有 虛 的意思, 而是與真實 (real, reality) 幾乎沒有兩樣 ( 但又不是真實 ) 的意思 05

11 的負荷較輕, 性能也略佳 ;. 反相放大器中的虛擬接地有利於設計多輸入訊號的合成電路, 例如下節所介紹的類比加法器 至於反相放大器輸入阻抗較小的問題, 可由兩級 ( 或三級 ) 放大加以改善, 前級放大器使用較大的 S 來提高輸入阻抗, 如圖 所示 不過, 如果需要非常高的輸入阻抗 ( 例如數 MΩ 以上 ), 還是要將同相放大器派上用場 00 kω 00 kω 00 kω kω 圖 : 兩級放大. 各種應用電路 OPAMP 的應用變化多端, 除了同相與反相放大器外, 其他應用電路不勝枚舉 一旦確定是負回授電路,() 與 () 兩條 金科玉律 就可派上用場, 以下介紹幾種常見的負回授應用電路 V A V I I 74 I 圖 4: 加法器 加法器 (summing amplifier) 圖 4 是一個類比加法器, 輸出訊號是兩個輸入訊號的加成 由 () 0

12 首先確定 A 點電位為 0( 虛擬接地 ), 因此 I 與 I 分別為 V =, I = V I 而 I = I I ( 由 ()), 因此 V OUT = 0 I = (I I ) = (V V ) 此電路除了可將兩個直流電壓 ( 反相後 ) 相加, 也可將兩個不同頻率的訊號加成, 產生一個合成訊號 例如,V 為振幅 V 的正弦波,V 為 V 的直流電, 則 為準位 ( 平均電壓 ) V 振幅 V 的正弦波 問題 8 圖 4 輸出訊號與輸入訊號反相, 如何設計一個輸出與輸入訊號同相的加法器 ( = V V )? 9 圖 5 中兩個電路的性能有何不同?( 提示 : 考慮輸入訊號源的輸出阻抗 ) 0 設計一個 輸入端的加法器 ( = V V V ) 設計一個 輸入端的放大器, 輸出與輸入的關係為 = 0(V V ) 00 Ω 00 Ω 0 kω 0 kω 00 Ω 74 0 kω 74 (a) (b) 圖 5 07

13 V V 74 圖 : 差動放大器 差動放大器 (differential amplifier) 顧名思義, 差動放大器 ( 圖 ) 的輸出訊號是兩個輸入訊號的差 分析這個電路同樣可直接引用 () 與 (), 逐步求得輸出與輸入訊號的關係 另一種較有趣 ( 較有學問?) 的方法是使用疊加原理 (superposition principle), 也就是將兩個輸入訊號分別考慮 : 首先令 V 為 0, 求出 與 V 的關係 ; 然後令 V 為 0, 求出 與 V 的關係 ; 最後將前後兩個輸出值相加即可, 詳細過程如下 () 令 V 為 0, 此時圖 可簡化如圖 7a, 這是一個同相放大器, 其中 V A = V ( 分壓定理 ; 記得同相放大器的輸入端可視為開路 ) 輸出電壓與 V A 的關係為 V = V, 因此 OUT A V = V OUT () 令 V 為 0, 此時圖 可簡化如圖 7b, 這是一個放大倍率為 的反相放大器, 因此 V OUT = V () 實際的輸出電壓是 () 與 () 的加成 : 08

14 V OUT = V OUT V OUT = V V 差動放大器可用來量測電路中兩個浮接點 (floating point, 也就是未接地的點 ) 兩端的電位差, 也可以用來調整波形的準位 V A 74 V 74 V = 0 V = 0 (a) (b) 圖 7: 疊加原理 問題 圖 中, 若 V 為 V 的直流電,V 為振幅 00 mv 的正弦波, 則輸出電壓的波型與準位為何? 電流對電壓轉換器 (currenttovoltage converter) 圖 8 中, 輸入訊號是個電流源, 輸出電壓與輸入訊號的關係為 V = OUT F I S 此電路可用來量測很小的電流, 例如圖 9 中, 當光線照射在受光二極體 (photo diode) 時, 微量電流會流過此二極體 假設電流為 µa, 則輸出端的電壓為 = 00 kω µ A = 00 mv 09

15 F 00 kω I S 74 µa 圖 8: 電流轉換電壓 圖 9: 小電流偵測 電壓控制的電流源 (voltagecontrolled current source) 圖 0 是一個電壓轉換電流的電路, 輸出 ( 負載 ) 電流與輸入電壓的關係為 I L = V IN () 因為負載電流與輸入電壓成正比, 而與負載 ( L ) 本身的大小無關, 因此這是一個可調控的電流源 不過, 使用時須注意輸出電流必須在 OPAMP 的額定電流之內, 否則 () 不成立 V IN 74 I V CC I L L V IN I L E PNP C L 圖 0: 電壓控制的電流源 圖 : 負載接地的電流源 問題 推導 () 式 圖 是另一種電壓控制的電流源 雖然 OPAMP 的輸出端與負載 0

16 之間加入一個電晶體, 但整體而言還是一個負回授電路 9, 所以 () 與 () 仍然有效! 由 () V E = V IN, 因此 I = V CC V IN 由 () I E = I ; 而 I C I E, 因此 I L I C V CC V IN 相較於圖 0, 圖 有兩個特點 : 一是負載的一端接地, 不再是浮接狀態 ; 二是負載電流可以遠大於 OPAMP 的額定電流 ( 為什麼?).7 OPAMP 的限制 OPAMP 雖然好用, 但也不是萬能 引用 () 與 () 兩條 金科玉律 時, 除了要先判斷是否為負回授外, 還要注意幾個前提 : 輸出電壓或電流是否超出額定範圍 訊號的頻率是否太高 OPAMP 本身的精度是否符合要求等 以下進一步說明 OPAMP 性能上的一些限制 缺陷 以及可能的改善方法 電壓限制 OPAMP 的輸出電壓無法超出兩個外加電源的值 例如, 若電源為 ± V, 則輸出電壓最大約為 V, 最小約為 V( 因為 OPAMP 內部電晶體有電壓降, 因此最大輸出電壓比電源電壓小 ~ V, 實際的數值因型號不同而有差別 ) 提高電源電壓可加大輸出訊號的電壓範圍, 不過要注意電源電壓不可超過額定值, 例如 74C 正電源電壓與負電源電壓最大壓差需在 V 之內 ( ± 8 V), 最小不能低於 ± 5 V OPAMP 容許的正負電源壓差一般不超過 44 V, 但也有少數型號可達 00 V 以上 9 判斷負回授的方法為 : 假設負載電流 ( 或電壓 ) 增加,OPAMP 的輸出端是否有壓抑負載電流 ( 或電壓 ) 增加的趨勢, 若是則為負回授

17 另外, 多數 OPAMP 需要雙電源 ( 正負電源 ) 驅動 70, 少數 OPAMP 可由單電源驅動, 例如 LM4 只需一個正電源 ( 最小 V 最大 V) 即可作動, 而且此顆 IC 內部包含 4 個獨立的 OPAMP( 共用一個電源 )! 電流提昇 一般 OPAMP 的額定輸出電流在數十毫安培以內, 例如 74C 為 5 ma 當 OPAMP 輸出端直接驅動小電阻 ( 大負載 ) 時, 可能因為電流超過額定值而使電壓下降 7 例如, 圖 中, 輸出電壓 電流與負載大小的關係如表 所列 當電阻較大時, 輸出電壓與計算值相同 ; 當電阻低於某個數值時, 輸出電流已接近 OPAMP 的極限, 此時不論負載電阻減低多少, 輸出電流並無明顯變化, 因此輸出電壓將隨負載電阻值減小而下降 500 kω 5 V 0. V 0 kω 500 kω 74 V L 0. V 0 kω 5 V 4 74 I o N055 V L I L I L L 7 5 V MJE955 5 V L 圖 : 電流限制 圖 : 電流提昇電路 70 這也是使用 OPAMP 不方便的地方, 因為電源電路所佔的體積 重量 與成本可能比 OPAMP 本身高出許多 7 OPAMP 的內部具有過電流保護機制, 因此即使將輸出端短路 ( 直接接地 ) 也不致燒毀, 此時不論輸入訊號大小, 輸出端的電壓均為 0, 在此 () 顯然失效

18 表 : 實驗結果 ( 針對圖 ) 負載電阻 L 輸出電壓 V L 輸出電流 I L kω 5 V.5 ma kω 5 V 5 ma 500 Ω 5 V 0 ma 00 Ω 4. V ma 00 Ω.4 V 4 ma 0 Ω V 4.7 ma 數十毫安的電流所能驅動的負載實在有限, 如何提昇 OPAMP 的輸出電流呢? 我們可以求助電晶體 功率電晶體 圖 是一個常用的電流提昇電路 (current booster), 圖中 OPAMP 的輸出端與負載之間加進一個推挽式的射極隨耦器 7, 因為 OPAMP 的輸出端與電晶體的 B 極相連, 因此只要些許的輸出電流就可產生數十倍以上的負載電流, 表 列出負載電壓 電流與電阻的關係 ( 假設電晶體的電流增益 β dc = 50) 比較表 與 可以看出加入射極隨耦器之後, 負載的電阻值容許的變動範圍顯著增加, 小 OPAMP 也可驅動大負載! 7 詳見第 4 章

19 表 : 實驗結果 ( 針對圖 ) 負載電阻 L 負載電壓 V L 負載電流 I L OPAMP 電流 I o kω 5 V.5 ma 50 µa kω 5 V 5 ma 00 µa 500 Ω 5 V 0 ma 00 µa 00 Ω 5 V 5 ma 500 µa 00 Ω 5 V 50 ma ma 0 Ω 5 V 50 ma 5 ma 問題 4 圖 中, 如果兩個電晶體的 β dc 值均為 50, 而 OPAMP 的額定輸出電流為 5 ma, 則負載電阻最小可為若干? 有何辦法可使負載電流大於 0A? 驅動高功率負載除了外接功率電晶體外, 也可選擇高輸出電流的功率運算放大器 (power OPAMP), 例如,LM 輸出電流可達 0 A ( 輸出功率 80 W) 功率 OPAMP 看似方便, 但是因為使用不廣, 不僅元件價格較高, 而且取得較費工夫, 不像小功率的 OPAMP 那樣 唾手可得 ; 如圖 的電流提昇電路仍不失為一種經濟實惠的設計 V IN 74 0 kω 圖 4: 頻寬測試 4

20 頻寬限制 ( 轉動率限制 ) 根據 (), 負回授時,OPAMP 反相輸入端的電壓將趨近於正相輸入端的電壓 實際上, 當輸入訊號改變時, 輸出與回授訊號需要一段時間才能到達新的目標值 ; 也就是說, 輸出與輸入訊號之間必然有或多或少的相位延遲現象 如果輸入訊號是一個正弦波, 輸出訊號將緊隨輸入訊號變化, 當頻率逐漸增高時, 輸出訊號終將因為 OPAMP 本身的速度限制無法追上快速變化的輸入訊號, 導致輸出振幅下降 例如, 我們對放大倍率 的電壓隨耦器 ( 圖 4) 做一實驗, 當輸入訊號的頻率為 khz 時 ( 振幅 0 V), 從示波器上來看, 輸入訊號與輸出訊號幾乎重疊, 如圖 5a 所示 (voltage follower 不枉其名 ) 然而, 當訊號頻率提高時, 輸出訊號的振幅卻可能明顯下降, 如圖 5b 所示 這是因為 OPAMP 的反應速度不足以讓輸出訊號追上快速的輸入值, 不僅輸出振幅下降了, 波形也不再是漂亮的正弦波 ( 接近三角波 ) V IN, 000 Hz V IN 0000 Hz (a) 輸出緊隨輸入 (b) 輸出振幅降低 扭曲 圖 5 汽機車的反應速度一般以 加速性 或 最大扭力 表示, 而放大器則用 轉動率 (slew rate) 表示 所謂轉動率 ( 以 S 代表 ) 是指在單位時間內, 輸出電壓最大可能的變化值 例如,74C 的轉動率為 S = 0.5 V/µs 因此, 74C 的輸出電壓每微秒最多 只 能上升 0.5 V; 換句話說, 從示波器來看, 輸出電壓波形 ( 任一點 ) 的斜率不可能超過 0.5 V/µs 圖 比較不同頻率與振幅的正弦波, 顯然頻率或振幅愈大, 正弦波的起始斜率愈高 : 假 5

21 設頻率為 f, 振幅為 a, 則正弦波可表示為 V S = asin πft 將 V S 對時間 (t) 微分, 可得 dv S = πfa cosπft dt 因此起始 (t = 0) 斜率為 π fa 當輸出訊號的頻率與波形的乘積滿足 π fa < S 時, 輸出訊號可跟隨輸入訊號變化 ; 否則, 輸出振幅將隨頻率增高而下降, 波形也會產生扭曲 (slewrate distortion) 例如, 圖 4 中, 若輸入電壓的振幅為 0 V, 則訊號的頻率必須滿足 f S < = = 7958 (Hz) π a π 0 (7) 7958 Hz 稱為此系統的 頻寬 (bandwidth) 放大器的頻寬愈高, 表示其反應速度愈快 由上面的分析可看出頻寬與振幅有關, 振幅愈大, 頻寬愈小 斜率最大 圖 : 電壓變化率與頻率 振幅成正比問題 5 圖 4 中, 若輸入訊號的振幅等於 V, 則此放大器的頻寬為何? LM8 的轉動率為 S = 70 V/µs, 若用 LM8 取代 74C, 則上題的答案應為若干?

22 轉動率的限制主要原因是 OPAMP 的電流有限, 其中又刻意加了一顆電容做內部補償 ( 使負回授電路能穩定下來 ) 7, 而電容充放電需要時間, 使輸入與輸出訊號之間產生時間延遲現象 另外, 即使電流無限, 當高頻時輸出訊號的振幅仍會衰減 ( 同樣與電容有關 ), 訊號開始衰減時的頻率稱為 小訊號頻寬 ( 因為當輸入訊號的振幅很小時, 不需太大的電流 ) 不過, 一般放大器的小訊號頻寬遠超過轉動率造成的頻寬限制 (7); 換句話說, 轉動率是影響放大器頻寬的主要因素 精度問題 如果將 OPAMP 正反兩輸入端同時接地, 輸出電壓應為若干? 根據圖 的模型, 答案是 0 然而實際上並非如此 圖 是 OPAMP 的近似模型, 雖然是個很有效的模型, 而且可據以分析大部份的負回授電路, 但是卻不能解釋下面所要探討的精度問題 ( 以及前述的電壓 電流 轉動率等限制 ) 當 OPAMP 正反兩輸入端接地時, 如果沒有回授 ( 開迴路時 ) 則輸出電壓只有兩種可能 74 : 正飽和 ( 比正的電源電壓小 ~ V) 或負飽和 ( 比負的電源電壓大 ~ V); 而負回授之後輸出電壓的確接近於 0, 但是仍有些許誤差 造成這些現象的主要原因為 : 即使輸入端均接地, 仍有很少量的電流流入兩輸入端 ; 而且除了輸入阻抗外, 兩輸入端之間存在一很小的偏差電壓, 如圖 7 所示, 以下分別探討這兩種造成誤差的微小電流與電壓 I B I B 偏差電壓 (a) 偏壓電流 (b) 偏差電壓 圖 7: 偏壓電流與偏差電壓 7 74 有些 OPAMP 內部沒有加補償電容, 負回授時必須外加電容才可使系統穩定 到底是哪一種情形要看運氣 7

23 00 kω 0 kω I B I B 00 kω 74 0 kω kω (a) 偏壓電流造成誤差 (b) 加入補償電阻 圖 8: 改良的反相放大器 () 偏壓電流 : 當 OPAMP 的輸入端接地時, 正反兩輸入端仍有微量的電流流入 ( 圖 7a), 稱為偏壓電流 75 (input bias current), 偏壓電流的大小因 OPAMP 而異, 例如 74C 的偏壓電流可達 0.5 µa, 而輸入端用 FET 做成的 OPAMP 之偏壓電流幾乎都在 na (0 µa) 以下 因為偏壓電流的關係, 圖 8a 的反相輸入端與接地點會產生些許電位差, 而使正反兩輸入端的電壓不同, 導致輸出電壓不為 0 補救的辦法是在正相輸入端與地之間也接上一顆電阻 ( 大小約等於 S 與 F 的並聯值 ), 如圖 8b 所示, 這時正反兩輸入端與接地點的電位差大致相同 7, 因此兩者電位差 (V error ) 可更接近於 0 () 偏差電壓 : 除了偏壓電流外, 輸入端的偏差電壓 (input offset voltage) 也會使輸出訊號產生誤差,74C 的偏差電壓約在 mv 左右 偏差電壓的效應相當是在 OPAMP 的兩輸入端間加入一個 ( 不請自來的 ) 直流電壓源 ( 圖 7b); 對於一個放大倍率 00 的負回授放大器, 如果偏差電壓為 mv, 則輸出誤差可達 ± 0. V, 也就是說, 即使輸入電壓為 0, 輸出電壓卻不為 0, 可能是 0. V 或是 0. V 因為偏差電壓的存在, 因此 OPAMP 開迴路的輸出電壓幾乎都達飽和值 ( 為什麼?) 降低偏差電壓最簡單的方法是在 OPAMP 的第 與第 5 隻接腳之間接上一個可變電阻 ( 中間一端接至負的電壓源 ), 如圖 9 所示 將輸入端接地 75 流進正反兩輸入端的電流量不一定相等, 兩者的差值稱為輸入偏差電流 (input offset current), 在此我們不考慮偏差電流 7 因為 I B 與 I B 不完全相等, 因此仍有誤差存在 8

24 後, 慢慢調整可變電阻, 使輸出電壓盡量接近 0( 不要期待真的調到 0!) kω V EE 圖 9: 歸零校正 上述的補償方式只能降低 而不能消除 OPAMP 的誤差, 因為除了以上兩個誤差來源外, 還有其他較不明顯的誤差源, 而且不論是偏壓電流或是偏差電壓的大小均會受到溫度甚至時間的影響 : 今天好不容易調好, 明天可能不再是最佳狀態 因此, 需要設計高精密放大器時, 正本清源之道是選用高精度的 OPAMP, 例如 OP07 或 OP77, 這兩顆 OPAMP 的偏差電流與偏差電壓均比 74C 小 00 倍以上 ( 價格只有 74C 的 4 倍 ), 其他與精度相關的參數也較佳, 而且不需利用可變電阻調整歸零 不過, 經驗中真正需要如此高精度的應用相對較少, 對於一般設計 74C 仍然適用.8 OPAMP 的保護 OPAMP 內部具有過電流保護機制, 即使將輸出端短路 ( 或是接電阻很小的負載 ) 也不致燒毀 不過, 要毀損此顆 IC 並不困難, 只要將 OPAMP 正負兩個電源接點 ( 接腳 7 與 4) 對調 ( 不小心接反 ), 這個小魔術盒就永遠變不出花樣了 另外, 一般 OPAMP 正相與反相輸入端的電位差不能太大, 有些 OPAMP 必須限制在 ± 5V 以內, 否則可能會影響性能, 甚至毀損 IC 負回授時正反兩輸入端的電位差雖然很接近於 0, 但是這需待系統穩定下來才算數 ; 當輸入訊號突然改變時, 在一小段過度時間內, 正反兩輸入端可能出現明顯電位差 為避免這種情形發生, 可在訊號輸入端反向並聯兩個二極體, 如圖 0 接上保護二極體後, 正反兩輸入端的電位差就可限 9

25 制在約 ± 0.7 V 以內了 圖 0: 輸入端保護 0

26 7 常用 IC 與應用 前面數章中我們認識了幾種常用的類比 IC, 包括三端子穩壓 IC(78xx 系列 ) 達靈頓電晶體陣列 (80) 以及運算放大器等 相較於離散的電晶體電路, 善用這些 IC 可大幅簡化電路設計與製作成本 本章將繼續介紹數個 OPAMP 的線性與非線性應用電路, 還有其它多種常用 IC 這些元件的價錢大多相當便宜, 而且可在國內購得 本章最後一節列出 IC 的相關網站, 從這些網站上可取得完整的規格表與使用方法 不論是要擴展電子科技的知識領域, 或是要設計實際的電路, 這些網站可提供許多寶貴 ( 但是免費 ) 的資訊 7. 動態 的 OPAMP 應用電路 前章介紹的 OPAMP 應用電路都是外接電阻, 因此輸出與輸入之間呈現靜態的關係 : 當輸入訊號是直流電時, 輸出訊號也是直流電 本節將 OPAMP 外接電容與電阻, 利用電容充放電的特性, 使電路具有動態的效果 : 即使輸入訊號是直流電, 輸出訊號也可隨時間變化 甚至不需輸入訊號, 輸出端的電壓也會 自動 產生週期性的變化 積分器 (Integrators) 77 圖 7 的輸出與輸入訊號關係可推導得 : VOUT = VIN dt (7) C 電容器上方的回授電阻可使電路維持負回授的特性, 亦即讓 OPAMP 負的輸入端保持虛擬接地 (V = 0), 避免輸出訊號飄移至飽和值 77 (7) 式的推導過程並不考慮電容上方的回授電阻, 因此只是 近似 關係

27 0 ~ 00 C V IN V time V IN 4 74 V 7 V 圖 7: 積分器 微分器 (Differentiators) 圖 7 是與積分器相對應的微分電路, 輸出入訊號的關係為 78 : V OUT dvin = C (7) dt V V IN 0.0 ~ 0. C 問題 : 圖 7: 微分器 V 7 設計 比例 積分 微分 (ProportionalIntegralDerivative, PID) 電路 : 輸入與輸出訊號的關係為 78 (7) 式並未考慮與電容串聯的小電阻

28 dvin VOUT = 0 VIN 0. VINdt dt ( 提示 : 組合積分器 微分器 與三個輸入的加法器等電路, 注意正負號關係 ) 方波產生器 (Squarewave generators) 方波產生器是 振盪器 (oscillators) 的一種 振盪電路幾乎無處不在, 任何會閃爍 發聲 或是計時的裝置, 內部均需要某種形式的振盪電路 振盪器的種類繁多, 不勝枚舉 多才多藝的 OPAMP 當然也不會在這項重要任務中缺席 圖 7 是一個簡單的方波產生器 這個電路中, 包含負回授與正回授, 因此並不是我們所熟悉的線性電路 :OPAMP 的 隻接腳的電位差並不等於零 另外, 這個電路中並沒有輸入訊號, 只要接上電源 79, 輸出端就自動高 低 高 低振動起來了 V 7 W C 4 74 V V V 0 kω 0 kω T T =. C W duty cycle = = T 50% 圖 7: 方波產生器 三角波產生器 (Triangularwave generators) 結合方波產生器與積分器可製作成三角波產生器, 如圖 電源與輸入訊號的區別見第 8 章說明

29 0 ~00 C V V C A 4 74 B 7 0kΩ V 0kΩ V A V B V 圖 74: 三角波產生器 問題 7 如何調整三角波的頻率與斜率? 電壓控制的 脈波寬度調變 (PWM) 除了好看之外, 三角波還有什麼用處? PWM 就是一個響叮噹的應用例! 結合三角波產生器與比較器, 可製作一個類比式的壓控 PWM 電路, 如圖 75 這個電路的輸出訊號是一個固定週期的方波, 而其工作週期 (duty cycle) 與輸入電壓值 (V IN ) 成正比 : 控制 V IN 的大小, 即可調整輸出訊號的高低電壓的時間比例, 若將此訊號接至一個電子開關 ( 提昇電壓與電流 ), 可控制開關 ONOFF 的時間比例, 藉此調控負載的運轉功率, 如馬達轉速控制 燈泡亮度 加熱爐溫度 電源的輸出電流等等 ( 詳見第 4 章關於 PWM 的說明 ) 4

30 V V V A V V V IN 7 V A V IN 圖 75:PWM 產生器 問題 7 圖 75 中, 若三角波 (A 點 ) 的波峰與波谷分別為 8V 與 8V, 則前述 PWM 的工作週期與輸入電壓值 (V IN ) 成正比 這句話應如何修正 ( 是否需加入條件 )? 7. 專業的振盪器 IC 555 OPAMP 是類比 IC 中最變化多端的一種, 幾乎任何電路都難不倒它 但是樣樣通就很難樣樣都不鬆, 對於某些特定的動作, 使用其他較專門的 5

31 IC 也許更經濟 效果也可能更好 555 是 OPAMP 之外另一顆耳孰能詳的 IC, 售價與 74 相當 ( 約 5~0 元台幣 ), 專長則是產生頻率與工作週期可調的週期波 單擊 (one shot) 以及壓控振盪器 (voltagecontrolled oscillators) 等電路 方波產生器 圖 7 是一個方波產生器, 振盪頻率的穩定度可達 % 相較於 74 作成的振盪器, 此電路只需要供給單一的正電壓源, 方波的頻率由兩個電阻與一個電容決定 圖中第 5 隻接腳所接的電容可省略 (left open) 另外, 調整 A 與 B 的比值可改變方波的工作週期, 但是頻率也會隨之改變 圖 7 中, 振盪器的工作週期無法調整至 50 %以下 若加一個二極體與電阻 B 並聯, 工作週期可調至 50 %以下, 見圖 V CC (5 ~ 5V) Output W A VCC V CC B C 7 DIS TH T LM555 GND Q CV 5 Output 0.0µF (optional) 0 T W = 0.9( A B ) C T = 0.9( A B ) C W A B Duty cycle= = 00% T A B 圖 7:555 方波產生器

32 4 8 V CC (5 ~ 5V) A VCC 7 DIS Q Output B TH LM555 C T GND CV 5 0.0µF A 圖 77: 工作週期可調低於 50% 之方波產生器,duty cycle = 00% A B 單擊 (one shot) V CC Trigger 7 DIS 4 8 VCC Q Output V CC 0 V CC Output C TH LM555 0 W Trigger T GND CV 5 0.0µF W =. C 圖 78: 單擊 單擊又稱單穩態 (monostable) 電路 : 當輸入端的高低準位變化時 ( 由高電壓切換成低電壓, 或是由低變高 ), 輸出訊號將由低電壓轉成高電壓 ( 或由高轉低 ), 但是經過一段時間之後, 又自動回復原狀 這種現象如同射擊 : 扣下板機 (trigger) 之後, 子彈飛出, 經過一段時間後, 自然落地, 故名 單擊, 而輸入端俗稱 trigger trigger 名詞為 板機, 動詞為 扣板機 或是 觸發 7

33 555 另外一個應用, 就是單擊, 如圖 78 所示 :555 的接腳 是輸入訊號端 (trigger), 當電壓由高變低的時, 輸出端訊號立刻由低變高, 經過一段預設時間後, 又自動變回低電壓 5V kω VCC 7 DIS Q 0Ω 0 µf TH LM555 5V.kΩ T GND CV 5 0.0µF 圖 79: 點亮 5 秒的電路 單擊電路與振盪器一樣無所不在, 凡是需要短暫時間延遲的地方都可使用 圖 79 是一個應用例 : 當按鈕開關按下後 ( 立即放開 ),LED 亮起, 約 5 秒鐘後, 自動熄滅 這樣的電路常見於鐘錶的夜間照明 電話或遙控器的按鍵照明等 圖 70 是單擊與振盪器合用的應用例 555 的第 4 隻接腳是個致能 (enable) 接腳 : 當電壓為高 (V CC ) 時, 輸出端正常動作 ; 當電壓為低 (0) 時, 輸出端保持 0 伏特 因此接腳 4 可說是 555 的開關, 將第一個 555 電路 ( 單擊 ) 的輸出訊號連接到這個開關, 可控制振盪器的作動 問題 74 圖 70 中, 觸一下按鈕後,LED 如何動作? ( 計算單擊的延遲時間與振盪器的週期 ) 圖 7 是另一個應用例, 其中喇叭會定時發出 嗶 聲, 聲音的頻率 ( 音高 ) 由右邊的 555 振盪器決定, 聲音長度由中間的單擊決定, 發音間隔則取決於左邊的振盪器 若再加上輕巧的旋鈕與精緻的外殼, 這個電路就成了一個高貴不貴的電子節拍器! 8

34 5V 5V 470kΩ 4 8 VCC kω 4 8 VCC 7 DIS Q 7 DIS Q 0Ω 0µF TH LM555 00kΩ TH LM555 5V.kΩ T GND CV 5 µf T GND CV 5 圖 70: 單擊 振盪器 V CC 50k 00k kω VCC DIS Q LM555 TH 0kΩ µ VCC DIS Q LM555 TH 0kΩ kω VCC DIS Q LM555 TH 0µ T GND CV 5 T GND CV 5 0.µF T GND CV 5 SPEAKE 圖 7: 電子節拍器 9

35 7. 比較器 比較器 (Comparators) 的元件符號與 OPAMP 類似, 如圖 7 事實上, OPAMP 也可當比較器使用, 圖 75 所示之 PWM 產生器的最後一級就是個比較電路 比較器的輸出電壓只有兩個可能 : 高或低 ; 當正的輸入端電壓高於負的輸入端時, 輸出電壓為高, 反之則為低 V 8 Input LM 7 Output 4 V Gnd 圖 7: 比較器 LM LM9 圖 7: 常用比較器 IC LM 與 LM9 專用的比較器 ( 如 LM 與 LM9, 見圖 7) 與 OPAMP 有許多差異, 我們拿 74 與 做個比較 :. 74 可設計負回授的線性放大器, 而 則不宜. 的反應速度遠遠高於 需使用正負兩個電壓源 ; 只需要單電壓源即可作動 ( 圖 74), 而且電壓值最低可為 5 伏特, 輸出訊號與 TTL 或 CMOS 數位 IC 相容, 因此比較器常被用來做為 類比 訊號與 數位 電路的介面元件, 也就是將類比的輸入訊號轉化為與數位 IC 相容的準位 4. 的輸出端是屬於開集極 (open collector, 與 80 相同 ) 結構, 因此輸出 0

36 端須加一個電阻連至電壓源, 稱為 pullup resistor, 如圖 74 (74 的輸出端是個推挽式的射極隨耦器 ) V 5V V 8 LM 7 Pullup resistor 8 LM 7.kΩ 4 4 V 圖 74: 比較器可使用單電壓源 圖 75:LM 也可使用三個電壓源 注意 LM 的電源線共有三條 8 :V V 以及接地線 (74 無此接地線 ), 其中 V 的額定電壓範圍是 5V ~ 0V,V 的範圍是 0 ~ 0V 不論 V 大小, 輸出訊號的低電壓值均為 0, 而高電壓值與 pullup 電阻所接的電壓源相等 ( 此電壓源最高可至 40V, 而且不需與 V 相同, 見圖 75) LM9 是另一顆常用的比較器 IC, 每個 IC 中包含四個獨立的比較器 8 ( 圖 7) LM9 只有兩條電源線, 其中一條 (Gnd) 可接負電壓源或是直 接接地 施密特觸發器 (Schmitt trigger) 比較器的基本功能就是檢驗輸入訊號是否高於某個預設電壓值, 如圖 7: 當輸入電壓高於.5V 時, 輸出訊號為 0; 當輸入訊號低於.5V 時, 輸出訊號為 5V 此電路有個缺點, 那就是當輸入訊號受雜訊影響而在切換準位附近微小振動時, 輸出訊號可能產生多次震盪, 如圖 77 改善的方法是採用正回授, 如圖 78 此電路稱為 施密特觸發器 它具有某種程度的 記憶 功能, 當輸入訊號由低變高時, 切換點為.8V, 而當輸入電壓由高變低時, 切換點降為.4V 換句話說, 輸入訊號由低電壓逐漸上升時, 一旦超過.8V 的界線, 輸出訊號立刻由高電壓切換成低電壓, 此時, 輸入訊號必須下降至.4V 以下, 輸出訊號才能再轉為高電壓 這是因為當輸出訊號等於 5V 時, 正輸入端的電壓等於.8V; 當輸出訊號等於 0 時, 正輸入端的電壓降為.4V 利用 Schmitt trigger 可有效防止輸出訊號因為雜訊干擾而產生不必要的高低切換, 如圖 有些比較器 ( 例如 LT0) 有四條電源線 :V,V,V CC,Gnd 稱作 Quadcomparator IC

37 5V VIN V IN 0kΩ 0kΩ 7 /4 LM9 kω VOUT 5V.5V 切換準位 0 圖 7: 偵測訊號準位 ( 切換點 =.5V) 圖 77: 當輸入訊號受雜訊干擾時, 輸出訊號可能產生多次震盪 5V 5V V IN 0kΩ 7 /4 LM9 kω 輸入由低往高的切換準位.8V.4V 5V V IN 輸入由高往低的切換準位 0kΩ 0kΩ 0 圖 78: 施密特觸發器 圖 79: 使用 Schmitt trigger 可避免輸出訊號因雜訊干擾而振盪 問題 75 利用疊加原理與分壓定理計算圖 78 的高低切換準位 ( 亦即計算正輸入端的電壓 )

38 7.4 主動濾波器 第 章曾介紹如何利用電阻 電容 電感等基本被動元件設計出各種濾波器, 包括 : 低通 高通 帶通 帶止等濾波器 使用 OPAMP 配合電阻電容同樣可作成上述各種濾波器, 而且可同時設定頻寬與截止坡度, 以及所需的 ( 高 ) 輸入與 ( 低 ) 輸出阻抗, 這種濾波器稱為主動濾波器 (active filters) 不過, 使用基本 OPAMP 設計高性能的濾波器仍然有點麻煩, 最容易的方式還是採用專用的濾波器 IC, 例如 UAF4( 圖 70) UAF4 內含 4 個運算放大器 ( 其中一個輔助 OPAMP 較少使用 ), 而且內部已有 個高精度的電容, 只要外接幾個電阻就可作成高階的濾波器 ( 最多 階, 兩個 IC 串連可達 階 ) 更方便的是, 此顆 IC 的製造公司 (Burr Brown) 提供一個設計程式, 只要輸入濾波器種類 ( 低通 高通 帶通等 ) 濾波器型態 (Butterworth, Chebyshev, ) 以及頻寬等資料, 即可自動算出外接元件的數值 圖 7 是一個 階濾波器的例子 ( 詳見 UAF4 的 data sheet) 圖 70:UAF Hz 0 59Hz HP BP LP dc 59Hz 000Hz V IN 圖 7: 階濾波器

39 7.5 公開的技術秘笈 設計性能優良的 IC 不容易, 類比 ( 或是混合型 )IC 的設計尤其需要深厚的技術背景以及不斷的實驗與試誤 不過, 使用 IC 並不難, 只要具備基本的電子學與電路觀念, 再加上一份 技術秘笈 就能輕鬆上手 所謂技術秘笈是指 IC 的使用說明書, 稱為規格或資料表 (data sheet) 從前 IC 的 data sheets 都是藏在一大本的 data book 中, 必須向原廠索取, 而一家大型的半導體廠商的 data books 常有厚厚十數本之多, 一般人取得不易, 查詢也不方便, 因此稱為技術秘笈不為過 如今網路的發展改變了這一切, 只要進入 IC 製造商的網站, 輸入型號或是關鍵字, 很快就能查到最新 完整 第一手的資料, 並可將 data sheet 免費下載 使用 IC 之前, 為求慎重, 應先研讀 IC 的 data sheet 這樣不僅可以正確使用 IC, 避免元件過載, 而且可從 data sheet 上找到許多有用的應用例 例如, 我們第一次使用 79xx 穩壓 IC 時, 因為接腳弄錯, 燒壞許多 IC, 花費數週時間卻一籌莫展, 直到下載 data sheet 詳閱接腳圖之後才豁然開朗 一顆功能強大的 IC 外觀也許不起眼, 但是找到對應的 data sheet 後就像畫龍點睛, 可讓冰冷的晶片展現熱情的生命 以下介紹數種常用 IC 及其相關網站, 有些 IC 前面章節以介紹過, 在此提供進一步研究的資訊 LM, LM9 比較器除了可用來偵測電壓準位外, 還有多重功能, 包括 : 單擊 方波產生器 三角波產生器 電壓控制的振盪器 石英控制振盪器 時間延遲電路 零點穿越偵測器 (zero crossing detector) 等 詳細資料參閱美國國家半導體公司所出版的 data sheet: 波型產生器 IC ICL808 使用這顆 IC 可同時產生方波 三角波 以及正弦波, 頻率可經由外接電阻與電容調整, 範圍 0.00Hz~00kHz, 詳見 Intersil Corporation: 精密 OPAMP OP07, OP77 OP07 的電源與輸出入接腳與 74 相同 ( 但是微調接腳不一樣 ), 精密度則明顯高於 74 如果需要量測微小訊號, 可用 OP07 取代 74 OP77 則是比 OP07 更高性能的 IC, 不過似乎較不容易取得 OP07 與 OP77 的詳細規格表見 Analog Device Inc.: OP07 的製造廠較多, 包括 : 等 4

40 高速 OPAMP LF5 LF5 的 slew rate = 0V/µs, 是 74 的 0 倍, 因此功率頻寬遠大於 74 此顆 OPAMP 的輸入端使用 JFET, 因此輸入阻抗也遠大於 74(50 萬倍 ), 開迴路的放大倍率則是 74 的兩倍, 精度也較高 LF5 的接腳與 74 相同, 設計高性能的電路時, 可考慮使用這顆 OPAMP, 詳見 或 三端子穩壓 IC 78xx, 79xx, LM40, LM7 第三章介紹的 78xx 與 79xx 系列 IC 是固定輸出電壓的穩壓 IC, 使用時須注意接腳順序, 不同型號 IC 接腳順序可能不一樣, 詳見德州儀器半導體 : 或 Fairchild semiconductor: LM40 是與 7800 系列類似的 IC, 見 LM7 也是三端子的穩壓 IC, 這顆 IC 的輸出電壓可由外接電阻連續調整, 範圍.V~7V 注意三個接腳的順序 (TO0 包裝 ) 與元件圖不同, 詳見 data sheet: 達靈頓陣列 ULN80 第四章介紹的 80 中, 每顆 IC 包含 8 組獨立的達靈頓電晶體, 每組最大可承受 500mA 電流,8 組並聯時, 瞬間輸出電流可高達 4 安培, 不過, 特別注意使用條件 (0% 工作週期的限制 ), 詳細規格見 :Allegro Microsystems, Inc.: 射極隨耦陣列 UDN98 80 的輸出端是開集極架構, 負載必須 pullup 到電壓源, 因此導通時負載電流流進 IC UDN98x 系列 (98~984)IC 的輸出端是射極隨耦架構, 負載必須 pulldown 至接地點, 因此導通時負載電流流出 IC UDN98 與 80 同樣有 8 組達靈頓模組, 額定值也與 80 類似 如果負載的一端需接地, 可選用此種 IC 詳見 橋式驅動器 L9 L9 有四組獨立的推挽式驅動器, 電流可流進或流出每個輸出接腳, 兩組合用可控制直流馬達正反轉, 或是當作步進馬達的雙極性驅動器 L9B 額定值為 A( 瞬間值可達 A), 額定電壓 V L9D 額定電流是 0.A( 瞬間電流可達.A), 此型 IC 內部包含防突波的二極體, 可直接外接 5

41 電感性負載 (L9B 需外加保護二極體 ) 詳見:STMicroelectronics, 或 Texas Instruments, 高速 FET 驅動 IC HIP4080, HIP408 結合 HIP4080( 或 HIP408) 與廉價的 Nchannel MOSFET, 可作成高性能的橋式驅動電路 這型 IC 的切換速度遠高於 80 或是 L9, 可達 Mhz, 適合用於高性能的 PWM 控制系統上, 如伺服馬達 微步進馬達 電源供應器等 詳細資料見 Intersil Corp.: 濾波器 IC UAF4 利用此顆 IC 只要外接幾個電阻就能作成各種高通 低通 帶通 帶止等濾波器 ( 詳 7.4 節 ) 此顆 IC 售價約 0 美元, 詳細資料見 BurrBrown Corp. : 數位與類比的介面 DAC0800 ( or DAC08) 微電腦處理後的資料若要轉為數位訊號, 如聲音 影像等, 需要使用數位轉類比的元件 DAC0800 是一顆廉價的 8 位元數位對類比訊號的轉換器 (digitaltoanalog (D/A) converters), 詳見 或 類比與數位的介面 ADC0804 利用微電腦作資料擷取與訊號處理時, 需先將類比訊號轉為數位訊號 ADC0804 是一顆廉價的 8 位元轉換器 (analogtodigital (A/D) converters), 詳見 或 目前許多單晶片微電腦 ( 或稱 微控制器,microcontroller) 內部包含多個通道的 A/D 轉換器, 解析度多在 0 個位元以上, 對於中 低速的應用, 以這種微控制器作為類比與數位的介面可能更經濟 數位控制的可變電阻 (Digitally controlled potentiometers) 利用數位控制的可變電阻, 可將單晶片微電腦與類比電路結合起來, 既可保有類比電路高速與可靠的優點, 也能運用微電腦變化多端的特質, 大幅增加類比電路的彈性 例如, 我們可以透過微電腦自動設定放大器的放大倍率, 或是調整輸出電壓值 數控電阻有 段 段等不同產品, 詳見 Xicor Inc.: 一般下載的 data sheet 大多是 PDF 格式, 使用 Acrobat reader 即可閱讀, 因此只要具備基本的英文閱讀能力就可掌握這些寶貴的資訊 上述元件規格表除了可從上面列出的網站下載外, 也可利用 google 等搜尋引擎連結

42 對於國內無法買到的元件, 可直接到國外網站購買, 例如 或是 這兩個網站都提供小額的零售服務, 並可連結製造商的網頁獲取相關資訊 線上購料的效率很高, 可在一周內收到貨品, 但是需付相當高的運費 ( 視情況每次可達 NT000 元 ) 7

43 8 FAQ 名詞與觀念釋疑 電源線與輸入線有何不同? 輸入 是否等於 流入? 差動與單動放大器有何不同.? 何謂阻抗匹配? 數位與類比元件各有何特色? 這些名詞的背後牽涉許多基本的電路觀念, 值得仔細推敲 本章除了解釋名詞外, 也將前面章節所探討的相關問題作一回顧與整理, 並且說明本課程的主題 類比電路 在現代的電子產品中所扮演的角色 8. 電源 輸入訊號 電源 (power source, 電源接腳包括 V CC V DD V EE V SS 與 Gnd 等 ) 與輸入訊號 (input signals) 都是操控放大器或是 IC 的要件, 兩者卻有很大差別 :. 電源是放大器等電路的能量來源, 輸入訊號則是所要處理 ( 放大 整型等 ) 的訊號 訊號線的電流一般極小, 而電源接腳常有大電流進出 ( 圖 8). 電源接腳通常是電路中電壓最高或是最低的地方 ( 有例外 8 ), 且電壓值必須相當穩定. 控制 IC 的開與關有兩種方式, 一是直接開關電源, 另外一種方式是操控 IC 的致能 (enable) 線 ( 屬於訊號線的一種 ), 後者可用極小的電流讓電路開始或是停止運作, 因此適合線控 遙控 或是由另一顆 IC 的輸出訊號控制 至於電路 ( 或是 IC) 輸出線之電流值取決於負載的阻抗, 可大可小 前級與中級放大器的輸出電流通常很小, 終 ( 末 ) 級放大器的電流則可從數十毫安培培至數安培以上 8 例如 FET 的驅動 IC( 如 HIP4080) 的輸出電壓可高於電源電壓 ( 利用 charge pump 原理 ) 8

44 VCC Input 放大器 VEE 圖 8: 電源與輸入訊號 00kΩ V Input 0kΩ 4 74 Output 7 V 圖 8: 輸入端的電流不一定流入放大器, 輸出端的電流不一定流出放大器 8. 輸入 輸出 所謂輸入 (input) 訊號是控制 IC 等電路的訊號, 輸出 (output) 訊號則是被控制的訊號 放大器輸入端的電流不一定流入放大器中, 輸出端的電流也不一定流出放大器 例如, 圖 8 所示的反相放大器中, 當輸入端的電壓為正時, 輸入訊號的電流流入放大器, 而輸出訊號的電流也是流入放大器 9

45 內 ( 因為輸出電壓為負 ); 當數入訊號為負時, 輸入電流流出放大器, 輸出電流也是流出放大器 ( 輸出電壓為正 ) 換句話說, 輸入 不是 流入, 輸出 也不等於 流出 輸入與輸出代表因果關係 : 輸入為因, 輸出為果 84 輸出端的電流方向英文以 sourcing( 流出 ) 與 sinking( 流入 ) 稱之 有些 IC 的輸出電流的方向一定往內 ( 如 80), 俗稱 current sink IC, 若是輸出電流恆向外, 則可稱為 current source IC( 如 UDN98) 但這只是非正式的 暱稱, 請不要與貨真價實的 current source( 電流源 ) 搞混 真正的 電流源 元件是指流出 ( 或流入 ) 此元件的電流值恆定, 不隨負載大小改變而改變 換言之, 電子電路中,source 有兩種不同意思, 一表向外流出 ( 可當動詞 ); 一表源源不絕, 大小恆定 ( 與方向無關 ) 大部分的 IC 輸出端的電流都是可進可出, 例如 OPAMP 與 555 等 (can be current sourcing or sinking) V CC V CC Input 單動放大器 Output Input 差動放大器 Output 圖 8: 單動與差動放大器 8. 差動 單動 放大器或儀表的輸入端可分為差動 (differential) 輸入與單動 (singleended) 輸入 ( 圖 8) 一組訊號線連接單動放大器時, 其中一條必須接地, 因為接地線一般不視為輸入線, 因此輸入訊號線看起來只有一條, 故稱 單 動 差動放大器 ( 或儀表 ) 的兩個輸入接點都不需接地, 因此可以偵測浮接 (floating) 的訊號, 如圖 84 通常差動放大器也可當單動使用 : 其中一個輸入接點也可接地 因此差動放大器的功能較單動者彈性大, 但是, 好的差動放大器並不容易製作, 價格也比單動放大器昂貴 84 以函數的觀點來說, 輸入訊號為自變數, 輸出訊號為因變數 :output=f(input) 40

46 差動儀表 A B O 圖 84: 差動輸入的儀表可量測電路中任意兩點的電位差, 而單動儀表只能量測任一點與接地點的電位差 (AO 或 BO, 但不能量 AB 兩點電壓 ) 對於理想的差動放大器, 當正負兩輸入端的電壓相等時, 輸入電壓應為 0 實際上卻不是如此: 當兩輸入端接同一電壓值 (V COM ), 輸出電壓不會真的等於 0, 而會隨 V COM 增加而變大, 此 ( 不好的 ) 放大倍率稱為 共模 放大率 (common mode gain) 因此差動放大器的重要性能指標是 共模排除 85 比 (CM, common mode rejection ratio), 也就是常模放大率與共模放大率的比值,CM 愈大, 差動放大器的性能愈佳 一般的三用電表可量測差動訊號, 但是示波器通常是單動輸入, 因此探棒的負端 ( 黑色夾頭 ) 必須接地 如果要量測差動訊號的波形, 有下列幾種選擇 :. 將電路中欲量測的兩個點 ( 都不是接地點 ) 分別接至示波器的兩個通道 (channels), 將兩個訊號相減 ( 一般數位示波器具有相加減的功能 ). 使用減法器 ( 或稱差動放大器, 見圖 ), 將差動訊號轉為單動訊號, 再接至示波器 減法器的兩個輸入端之前可分別接電壓隨耦器 (voltage follower), 提高輸入阻抗. 使用專用的差動放大器 IC 8 ( 如 AD5, AD4), 提高精度與 CM 4. 若自製差動放大器的精度 CM 不夠高, 可考慮購買差動輸入探棒與套件 ( 很貴 ) 5. 購買差動輸入的示波器 ( 更貴 ) 數位訊號的傳輸線也可區分為單動與差動兩種, 例如 S( 傳統 MODEM 與 PC 的連結標準 ) 使用單動傳輸線, 而 USB( 通用匯流排, 新的 85 8 因兩輸入端的電位差所產生的放大 又稱為 儀表放大器 (Instrumentation amplifiers) 4

47 PC 串列資料傳輸標準, 企圖取代 S 串列埠與傳統的印表機埠 ) 則使用差動訊號線 因為差動傳輸中, 來 回的一組線完全對稱, 容易抵銷外部干擾訊號, 因此高速與長距離資料的傳輸線多使用差動方式 阻抗匹配 為避免負載效應, 電壓放大器 ( 包括電壓對電壓的放大器與電壓轉電流的放大器 ) 的輸入阻抗愈大愈好 ; 是否所有的放大器或儀表都是如此呢? 答案是 : 未必! 電流放大器 ( 包括電流轉電壓的放大器與電流對電流的放大器 ) 的輸入阻抗應愈小愈好 例如, 將三用電表切換成伏特計時 ( 量電壓 ), 電表的輸入阻抗可達數 MΩ 以上, 而且愈大愈理想 ; 但是當切換成安培計時, 輸入阻抗則接近於 0 另外有一種情形與電壓或電流放大器都不一樣, 那就是如何將電能有效的從前一級 ( 訊號源 ) 傳至下一級電路 ( 負載 ) 此時, 當負載的阻抗與訊號源的輸出阻抗相等時, 電能的傳遞效率最高! 這就是所謂的阻抗匹配 (impedance matching) A S I A V S IN 圖 85: 阻抗匹配 我們以圖 85 為例, 說明上述三種狀況 如果要提高 A 點的電壓, 則應提高 IN ( 根據分壓定理 ) 如果要使流入 A 點的電流最大, 則 IN 應等於 0 但是, 如果希望加諸 IN 的功率最大, 則應儘可能提高 V A 與 I A 的乘積 88, 我們可以算出當 IN 等於 S 時, 此乘積最大 : 將一對差動傳輸線絞在一起可相互抵銷外部雜訊幹擾, 稱為 對絞線 (twisted wires) 前面兩種情況輸入功率 (V A I A ) 都接近於 0 4

48 假設 V S 為直流訊號源 89, 則 P A = V 將 P A 對 IN 微分, A I A = V S IN ( S IN ) dp d A IN = V S ( S IN ) ( S IN IN ) = V S ( S S IN IN ) P A 最大值發生於上式為 0 時, 也就是 IN = S 表 8 將放大器最佳的輸入阻抗與訊號源輸出阻抗 ( 內電阻 ) 的關係列表 表中最後一項屬於波動理論的範疇 90, 對於高速訊號傳輸線或是長距離的傳輸需予考慮 表 8: 各種元件的最佳輸入阻抗 電壓放大器 (Voltage amplifiers and voltage to current converters) 電流放大器 (Current amplifiers and current to voltage converters) 能量傳輸 訊號傳輸線 設計目標最佳輸入阻抗備註輸入電壓最大愈大愈好使輸入電壓衰減最少 輸入電流最大愈小愈好 負載功率最大等於訊號源的內電阻避免電波反射等於傳輸線的 特徵阻抗 使輸入電流衰減最少 加諸負載的功率等於訊 9 號源輸出功率的一半所有入射電波均被吸收 ; 適用於高速或長距離的傳輸線 89 以下推導結果也適用交流訊號源 90 所謂特稱阻抗 (characteristic impedance) 與導線本身 ( 連續分佈 ) 的電感與電容有關, 在此並假設導線無電阻成分, 因此沒有能量損耗 9 另外一半消耗於訊號源的內電阻 4

49 8.5 類比與數位 類比訊號是指在某範圍內連續變化的訊號, 類比電路的每個輸出入接 9 點理論上可有無限多種電壓值 數位電路每一個接點只有兩種狀態 : 高或低 因此, 若要傳遞複雜的資訊, 必須一次使用多條訊號線 ( 並列傳輸 ), 或是以一條訊號線將多個高 / 低 (0/) 訊號依序傳送 ( 串列傳輸 ) 由於微處理器 (microprocessors) 數位訊號處理器(digital signal processors, DSP) 等元件的功能與速度不斷提昇, 電子產品已日漸數位化 利用微電腦處理數位訊號 設定元件參數 監控硬體電路等, 可提昇產品的功能與使用彈性, 並可簡化電子電路的設計 一顆微處理器雖然可執行大部份運算放大器的功能, 包括加 減 乘 除 開根號 積分 微分 等等, 還有許多類比元件難以完成的動作, 例如訊號辨別 資料壓縮 加密 解密等, 但是類比電路仍有微處理器無法取代的特點 :. 類比 數位介面 : 自然界的物理量大多是類比訊號, 數位化前常需前置處理, 例如將訊號放大 縮小等, 使訊號的電壓值落於類比與數位轉換器 (A/D converters) 的感測範圍內 而微處理器的運算結果輸出時, 需將電壓或電流提昇方可驅動馬達 喇叭 燈泡 繼電器等元件, 這時前面章節介紹的射極隨耦器 電子開關等電路可派上用場. 速度與可靠度 : 類比電路的運算速度遠高於一般微處理機 9, 對於功能單純且需要快速反應的電路, 使用類比元件可能較經濟 另外, 類比電路沒有當機的疑慮 94, 可靠度高 目前大多數的電子電路都是類比與數位的混合, 兩者的結合方式可區分為下列幾種型式 :. 類比與數位轉換器結合微處理機運算系統, 如圖 8 所示 此種混合電路中, 訊號處理的工作主要由微電腦執行 圖中顯示, 類比訊號與微處理器的介面除了可使用 A/D 轉換器外, 還可直接透過比較器或是 Schmitt trigger 將類比訊號轉為一個 0 或 的數位訊號 輸出端除了可用 D/A 轉換器轉成類比訊號外, 也可直接將數位訊號連接電子開關, 推動 ON/OFF 驅動型的元件或機構, 如燈泡 加 9 三狀態 ( state) 元件除了高與低電壓之外, 還有高阻抗狀態 ( 非高非低的開路狀態 ) 9 數位處理器的計算速度愈來愈快, 某些並列處理的 DSP 或可程式邏輯元件 (programmable logic device) 的運算速度可與類比電路相比擬 94 數位電路不一定會當機, 不含微處理器的數位電路一樣不會當機 44

50 熱器 步進馬達 PWM 驅動的伺服馬達等. 數位控制的類比電路, 如圖 87 所示 這種混合電路中, 訊號處理的任務由類比元件完成, 而微電腦則執行參數設定或功能選擇的動作 例如圖 87(a) 中, 透過微電腦設定數位控制的可變電阻 ( 見 74 節 ), 可調整放大器的放大倍率 ; 圖 87(b) 中, 微電腦可經由類比開關選擇訊號頻道. 類比元件 ( 電阻 電容 比較器 運算放大器等 ) 與數位記憶元件 ( 如正反器, 亦即 Flipflop) 結合,555 就是一個例子 這種電路中數位元件只是紀錄系統的狀態, 並不做資料處理的工作 45

51 類比訊號 放大 縮小 整型 濾波 比較器 Schmitt triggers A/D 轉換器 Analog signals Digital processing 微電腦 微控制器 數位訊號處理器 數位開關 ( 含電晶體開關 繼電器 固態電繹等 ) D/A 轉換器 電流 電壓提昇 Analog world 燈泡 加熱器 ON/OFF 電源開關 步進馬達 PWM 喇叭 映像管 伺服馬達 圖 8: 類比與數位混合電路 ( 一 ) 4

52 Input 74 Output 數位控制的可 變電阻 微電腦或微控制器 (a) Channel Channel Channel Channel 4 Output 類比開關 ( 類比多工器 ) 微電腦或微控制器 (b) 圖 87: 類比與數位混合電路 ( 二 ):(a) 使用數位控制的可變電阻 ; (b) 使用類比開關 47

open short A R1 A R1 B R R 0.3 A B B 0.4 A B power P = VI R V I V P = VI = I R = R 1/4 W 1/ W 1/ W 5 10 W MΩ 5

open short A R1 A R1 B R R 0.3 A B B 0.4 A B power P = VI R V I V P = VI = I R = R 1/4 W 1/ W 1/ W 5 10 W MΩ 5 1 ( ) 1.0 in parallel 0.1 in series 0. R1R 0.1 R = R1 + R 0. R = R 1 + R R1 R R1 R 0.1 0. 4 open 0.3 0 0 short 0.4 0 A R1 A R1 B R R 0.3 A B B 0.4 A B power P = VI R V I V P = VI = I R = R 1/4 W 1/ W 1/

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

電晶體放大電路

電晶體放大電路 電晶體偏壓電路及共射極放大電路 一 實習目的 () 了解電晶體偏壓電路和工作點與穩定度等觀念 (2) 熟悉電晶體各種偏壓的電路 (3) 了解共射極電晶體基本放大電路 (4) 能量測共射極電晶體基本放大電路的交流參數 二 使用材料 零件名稱 零件值 數量 KΩ 2 2 KΩ 2.2 KΩ 3.3 KΩ 4.7 KΩ 電阻 0 KΩ 2 5 KΩ 22 KΩ 47 KΩ 68 KΩ 00 KΩ 2 可變電阻

More information

Microsoft Word - 741小論文0.doc

Microsoft Word - 741小論文0.doc 篇名 作者 李靖群 高雄縣中山工商 綜合高中 二年六班 壹 前言 物理量的感測在一般應用中, 經常使用各類感測器將位移 角度 壓力 與流量等物理量轉換為電流或電壓訊號, 之後再藉由量測此電壓電流訊號間接推算出物理量變化, 藉以達成感測 控制的目的 但有時感測器所輸出的電壓電流訊號可能非常微小, 以致訊號處理時難以察覺其間的變化, 故需要以放大器進行訊號放大以順利測得電流電壓訊號, 而放大器所能達成的工作不僅是放大訊號而已,

More information

電晶體放大電路

電晶體放大電路 一 實習目的 訊號產生電路 1. 了解方波 三角波及鋸齒波產生電路之基本原理與應用 2. 以運算放大器為主動元件, 分析及設計各種非正弦波產生器電路 二 使用材料 實習一 方波產生器項目 編號 名稱 數量 5k 1 電阻 10k 2 20k 1 30k 1 電容 0.1μ 1 0.01μ 1 二極體 D ( 小功率整流用 ) 2 運算放大器 A 741 1 A 741 放大器輸出入腳位圖 實驗二 三角波產生器

More information

VH中文硬體說明書 A4-cd9新版.cdr

VH中文硬體說明書 A4-cd9新版.cdr VB / VH 系 列 PLC DC 連 接 器 機 型 周 邊 產 品 說 明 VB-TM 點 MOSFET 轉接模組 MOSFET數目 輸出型式 輸出保護 IDC- V IDC- IDC- IDC- V V IDC- IDC- IDC- IDC- V IDC- IDC- IDC- IDC- V 返馳二極體 IDC P 連接器 個 歐規端子台 mm ( W) 8mm( H) mm( D) mm DIN軌道

More information

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved.

SVS26CS Sensors Module User Guide Rev. 1.0 Aug Copyright 2018 Sivann Inc., All Rights Reserved. User Guide Rev. 1.0 Aug. 2018 Copyright 目錄 一 介紹... 2 1.1 相關開發文件... 2 1.2 硬體規格... 3 二 硬體配置... 5 三 接腳圖... 7 四 接腳描述... 8 五 版本歷史... 10 Rev. 1.0 1 / 10 一 介紹 Sivann 為一通用型的感測器應用模組, 其包含了 6 種常用的感測器與 2 種控制器 感測器包含溫濕度

More information

ICTQ Question 6

ICTQ Question 6 電子學有效教學示範 ( 電晶體小訊號分析 ) 主講人 : 古紹楷指導教授 : 戴建耘 Psntaton By Tzu-W Chn 1 Dpatmnt of Industal duaton Natonal Tawan Nomal Unvsty 重點闗鍵詞 : 小訊號分析 英文闗鍵詞 :small sgnal analyss 教學重點 : 1. 學生能了解電晶體交流等效電路 2. 學生能理解小訊號分析步驟

More information

Q expert-完成出卷

Q expert-完成出卷 1. ( ) 下列敘述何者錯誤? 104-1 高二電子學 H5 電晶體直流偏壓電路 (A) 電晶體的工作點又稱為 Q 點 () 更換不同 β 值的電晶體, 會影響電路的工作點 () 電晶 體欲用在線性放大電路時, 須工作於飽和區 (D) 線性放大器常用來做小信號放大 2. ( A ) 電晶體當放大器使用時, 須工作於 (A) 作用區 () 飽和區 () 截止區 (D) 以上皆非 3. ( ) 電晶體當放大器使用時,

More information

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25 ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25% 歐姆直流電阻耐電流產品料號 @ 1MHz Ω (Max.) 歐姆 ma (Max.) 15 系列

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

ch05

ch05 物理系光電組 二年級電 子學 單元七 BJT 電晶體 授課 老師 : 輔仁 大學物理系副教授張敏娟 2015 spring 1 物理系光電組 二年級電 子學 單元七 BJT 電晶體 BJT 電晶體 基本原理 1 BJT 電晶體把 N 型和 P 型半導體, 再組合, 變成電晶體 BJT 電晶體 是一個把訊號放大的元件 3 BJT 電晶體 三端元件 4 BJT 有兩種組成 NPN 型 PNP 型 5 N

More information

電子學(全)大會考題庫

電子學(全)大會考題庫 電子學大會考 1 100 學年度電子學 ( 全 ) 大會考題庫 一 請寫出下列英文專有名詞之中文名稱 (1) doping (2) semiconductor (3) diode (4) insulator (5) barrier potential (6) bias (7) Filter (8) rectifier (9) DC power supply (10) ripple (11) Gain

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Microsoft PowerPoint - Chapter 9_961.ppt

Microsoft PowerPoint - Chapter 9_961.ppt perational Amplifier p-amp 小訊號電路符號 以訊號觀點, 具兩個輸入一個輸出 需要直流電壓使電晶體偏壓在主動區 大部分 op-amp 偏壓使用正及負電壓供應 一般 op-amp 由二三十顆電晶體所組成 典型 C op-amp 具有接近理想特性之參數 所以可視為一 單純 之電子元件 本章發展理想的 op-amp 參數及 op-amp 電路之分析設計 本章假設 op-amp 為理想的

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

Microsoft Word - 電晶體放大器.doc

Microsoft Word - 電晶體放大器.doc 電晶體放大器一 目的 : 了解電晶體放大器的工作原理, 並測量電晶體的一些參數 二 原理 : ( 一 ) 電晶體放大器的偏壓 : 在前面的實驗中我們分析過電晶體的放大作用, 並且估計過如圖 l 電路的電流放大率和電壓放大率 在作估計時, 我們一直假設這個電路工作在線性區域裡, 使 o, 因此輸出電壓 o 是輸入電壓的翻版, 只是振幅變大而已 為了使電路工作在線性區域, 至少要時時保持電晶體在 暢通

More information

<4D F736F F D20B2C434B3B92020B942BAE2A9F1A46ABEB9>

<4D F736F F D20B2C434B3B92020B942BAE2A9F1A46ABEB9> 研習完本章, 將學會. 運算放大器簡介 -------------------------------------------0 2. 非反相放大器 -------------------------------------------0 3. 反相放大器 -------------------------------------------6 4. 加法器 -------------------------------------------25

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

MergedFile

MergedFile 106 年公務人員特種考試警察人員 一般警察人員考試及 106 年特種考試交通事業鐵路人員 退除役軍人轉任公務人員考試試題 頁次 :101 考試別 : 鐵路人員考試等別 : 佐級考試類科別 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 共 40 題, 每題 2.5 分, 須用 2B 鉛筆在試卡上依題號清楚劃記,

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 在多級放大器電路的分析中 應用雙極電晶體的小訊號等效電 路 復習問題 1. 將負載線重疊至電晶體的特性曲線上

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

1

1 磁軌式讀卡機 1288 系列 使用手冊 Version 1.0 1 2 3 4 5 6 7 8 9 10 11 12 1288 MSR Micro controller : With Decoder Open Visual COM port to read data (UART Interface) From 1288 Or direct control 1288 by sending Command

More information

類比電子之積體電路時代的樂高積木-OPA.doc

類比電子之積體電路時代的樂高積木-OPA.doc 篇名 類比電子之積體電路時代的樂高積木 -OPA 作者 呂依芳 國立澎湖海事水產高職 電信二甲 歐陽琳 國立澎湖海事水產高職 電信二甲 1 壹 前言 OPA 是在電子學的教學裡, 最為重要的課程之ㄧ, 也是實習及操作的重點, 有關任何電子的考試 OPA 是必定的題目, 所以我們不只要會運算外, 也要了解其特性, 並實際操作 OPA 的特質, 利用 OPA 製作各種電路, 來發揮不同的效果及作用, 才能更了解

More information

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s

AC DC / AC-AC DC-DC AC-DC DC-AC AC-AC DC-DC AC AC Transformer AC DC AC AC DC? AC DC DC Voltage V Volt V V Q JV = J / Q Current I Ampere A AsQA = Q / s What's fun in EE 臺大電機系科普系列 如何掌握看不見的電 直流電源轉換的方法 陳耀銘 國立臺灣大學電機工程學系副教授 楔子 電能 是各式各樣的能量形式中 最容易被傳輸與轉換 也最容易被人類所 使用的一種能量來源 生活周遭很多物品都是以電能作為其能量的來源 所以 我 們常聽到 這個東西 要插電 或是 噯呷電 台語 甚至 也常常用 電 來 形容一個人的精神狀態 如 這位小朋友已經玩到沒電了

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之 430 設計電晶體電路之直流偏壓以符合特定直流電流及電壓 並穩定 Q 點使其抵抗電晶體參數之變動 應用直流分析與設計技巧於多級電晶體電路中 復習問題 1. 欲使電晶體偏壓於順向主動模式下 加在 npn 雙極電晶體上所需 之偏壓電壓為何 2. 定義 pnp 雙極電晶體操作在截止 順向主動模式 飽和模式下之 條件 3. 定義共基極電流增益及共射極電流增益 4. 討論交流及直流共射極電流增益之差別 5.

More information

untitled

untitled R ( ) .5..5 2.. 2.. 2 4 5 7 7 7 7 7 8 8 8 0 0 2 4 5 5 5 7 8 8 PC 0 安全注意事項及緊急處理方法 請務必遵守 警告 發生異常或故障時 應立即停止使用 拔 下電源插頭 恐會導致冒煙 起火 觸 電 異常或故障事例 即使接上電源開關有時也不會運轉 插拔電源線時 有時通電有時不通電 運轉中 有燒焦味或異音 本體部分變形或異常發熱 請立即連絡服務站進行檢查及修理

More information

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma

現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度, 進而獲得地底物質密度與深度的關係 地下世界知多少 km/s g/cm 3 P Gpa km S P S 3,000 3,000 ak K 透視地底 Percy Bridgma 透視地球深處 的窗戶? extreme condition extreme environment 94.5 1 270 21 3.9 12.3 6,400 300 4,000 1864 Jules Gabriel Verne 1959 2008 1990 Paul Preuss 2003 24 2013 2 482 現在人類獲取地球內部訊息的方法, 是從可能影響我們身家性命安全的地震, 用數學模型把地震資料轉換成地震波速度,

More information

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl 01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Flash 可以做精美的網路動畫並不陌生, 但是實際上 Flash 不僅如此, 只要搭配 ActionScript

More information

X5-05_How_to_use_specified_timer_to_realize_real-time_operation_tc

X5-05_How_to_use_specified_timer_to_realize_real-time_operation_tc Author Sean Hsu Version 1.0.1 Date 2012/10/26 Page 1/5 Q: 如何利用特定 timer 實現即時性的動作? 適用於 : 平台 OS 版本 XPAC utility 版本 XPAC 系列 所有版本皆適用 Note1 Note1: 和 utility 沒有任何無關. 使用哪種計時器 (timer) 來達到即時性 (Real-Time)? 使用多媒體計時器

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

09 F9 128 peer to peer, P2P file transfer protocol bittorrent 10 P2P P2P GNU/ Linux P2P CC 單機版的智慧財產權 vs. 人權戰爭 1980 DVD content

09 F9 128 peer to peer, P2P file transfer protocol bittorrent 10 P2P P2P GNU/ Linux P2P CC 單機版的智慧財產權 vs. 人權戰爭 1980 DVD content 當智慧財產 侵犯人權? 智慧財產與人權 Kickstarter The Matrix The Matrix Avatar Eywa 12 2013 4 484 09 F9 128 peer to peer, P2P file transfer protocol bittorrent 10 P2P P2P GNU/ Linux P2P CC 單機版的智慧財產權 vs. 人權戰爭 1980 DVD content

More information

臺北捷運公司 103 年 2 月 22 日新進助理工程員 ( 電機類 ) 甄試試題 - 電機概論 選擇題 : 每題 2 分, 共 50 題, 計 100 分 請務必填寫姓名 :. 應考編號 : 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 (1) 20 Ω (2) 12

臺北捷運公司 103 年 2 月 22 日新進助理工程員 ( 電機類 ) 甄試試題 - 電機概論 選擇題 : 每題 2 分, 共 50 題, 計 100 分 請務必填寫姓名 :. 應考編號 : 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 (1) 20 Ω (2) 12 選擇題 : 每題 分, 共 50 題, 計 00 分 請務必填寫姓名 :. 應考編號 :.. 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 () 0 Ω () Ω (3) 6 Ω Ω. 4 兩個電容分別為 3 μf 及 6 μf, 串聯的總電容為 () 8 μf () 9 μf (3) 6 μf μf 3. 3 圖 中, 若 V 為 0 V, 則 V 為 () 80 V () 60

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

1356 微電子學 6. 畫出單極點低通交換電容濾波器電路 7. 描述振盪器之特性 8. 描述並解釋相移振盪器之操作 9. 描述並解釋溫橋振盪器之操作 10. 與相移振盪器相較 Colpitts 或 Hartley 振盪器之優點為何 11. 畫出反相與非反相比較器之電路與特性曲線 12. 畫出基本反

1356 微電子學 6. 畫出單極點低通交換電容濾波器電路 7. 描述振盪器之特性 8. 描述並解釋相移振盪器之操作 9. 描述並解釋溫橋振盪器之操作 10. 與相移振盪器相較 Colpitts 或 Hartley 振盪器之優點為何 11. 畫出反相與非反相比較器之電路與特性曲線 12. 畫出基本反 第 15 章 積體電路的應用與設計 在史密特觸發器之負回授迴路中加入 RC 網路可得方波產生器或 振盪器 此類振盪器稱為不穩振盪器 555 IC 時序器使用兩個比較器在單穩或不穩態下運作 調整外加 電阻和電容值可在大範圍內改變不穩態輸出訊號之頻率 責任週 期及單穩態輸出訊號之脈衝寬度 我們討論三種積體電路功率放大器之例子 LM380 功率放大器為 全 IC 元件之放大器 可輸出 5 W 之交流功率至負載

More information

OKI Semiconductor

OKI Semiconductor 微孔型超音波霧化片驅動晶片 概述 特點 為一款霧化片驅動晶片, 專門用於微孔型超音波霧化片, 提供加濕片自動偵測共振頻率達到最佳的霧化效率 晶片工作電壓 2.4V ~ 5.5V 待機典型工作電流, 無負載 < 2.0uA@=5V( 停機 ) 適用微孔型霧化片, 中心共振頻率範圍 90KHz ~180KHz ( 需搭配外部電阻調整 ) 自動調整共振頻率範圍 ±15% 待機省電功能可用於電池供電 提供按鍵

More information

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8±

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8± ated Current( 耐電流 ): 1-3A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a low DC resistance. Their impedance mainly comprises resistive part. Therefore, when

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - iR_DataSheet_CHT_

Microsoft Word - iR_DataSheet_CHT_ Remote I/O 產品規格 Table of Contents 1. 產品概觀... 1 1.1 產品清單 :... 1 1.1.1 耦合器 :... 1 1.1.2 I/O 模組 :... 1 2. 總線耦合器... 2 2.1 CANopen 規格... 2 2.2 Ethernet TCP/IP 規格... 3 3. 數位輸入 / 輸出... 4 3.1 數位輸入模組... 4 3.2 數位輸出模組...

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - 電學基本量測.doc

Microsoft Word - 電學基本量測.doc 電學基本量測一 目的 : 熟悉電學量測的一些基本觀念和一般實驗室常用儀器的操作 二 原理 : ( 一 ) 電源供應器 (power supply) 不論負載如何改變, 輸出電壓仍能維持不變的電源稱為恆壓源, 一般以 C 符號表示 ; 不論負載如何改變, 輸出電流仍能維持不變的電源稱為恆流源, 以符號 CC 或 CCS 表示之一般在實驗室中所使用的直流電源供應器為 恆壓 / 恆流 (C/CC) 供應器

More information

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D 2006 4 27 1 JY FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC DC FILTRON AC 24VAC 24VAC AC 24VAC AC

More information

Microsoft Word - CDT3913-NSP1.0.doc

Microsoft Word - CDT3913-NSP1.0.doc 產品說明 (General Description) 產品特性 (Features) 有 2 組馬達輸出控制, COS 製程 低壓 低耗電 -- 大馬達 8 段功能 外接振盪電阻 -- 小馬達 8 段功能 靜態電流 < 7μA @= 可按獨立鍵控制速度 : 加速 減速 OFF 操作電壓 :1.8~5.5V 共有 5 KEY 控制鍵 每段速度由外部 LED 顯示段數 -- 大 / 小馬達各有 2KEY

More information

EC-W1035RL說明書.cdr

EC-W1035RL說明書.cdr 電壓 AC 110V/60Hz 消耗功率 1200W 額定電流 9.5A 吸入功率 350W 淨重 約5. 3 k g 外型尺寸 W420 X D260 X H350(mm) 生產國別 中國 警告 為了避免火災或是電擊的危險 請勿讓本機暴露於雨中或濕氣中 電源線請確實插牢於電源插座內 以免因未插牢而引起插頭產生高熱 而造成意外 目錄 1 2 各部名稱 8 清潔集塵桶 3 本機特點 8 清潔過濾機芯

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

Microsoft PowerPoint - chap11.ppt

Microsoft PowerPoint - chap11.ppt I/O 裝置與界面電路 I/O 裝置 輸入裝置 輸出裝置 輸入 / 輸出裝置 I/O 埠一般可以分成三種 : 資料埠 (data port) 狀態埠 (status port) 控制埠 (control port) 林銘波編著 --- 全華科技圖書公司 11.1 簡單的輸入埠例 接往 CPU 模組 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A14 A15 RD Vcc G Q0 D0

More information

* 2

* 2 * 2 1. A 3. A 2. B A. 1. 1 2. 1 3 4 4 6 p 123456 7 bk bl bm bn 7 bo cm 9 8 cl ck bt bs br bp bq 1 2 3 4 5 6 7 8 9 bk bl bm 0 bn bo bp bq br bs bt p ck 8 2 4 6 cl cm cq cp co cn cn co cp cq 10 . [8]

More information

SW cdr

SW cdr 1~2 3 4 5~6 7~8 9~10 11 12 13 14 15 16~18 16 16 17 17 18 18 18 19 19 19 20 21 22 23~26 23 24 24 25 26 27 27 27 : 110V 1 110V 110V 15A 2 3 23 24 4 ( ) 5 6 1 2 26 20 l 1 7 3 4 5 15 17 18 12 7~13 6 ~ 8 ~

More information

<4D F736F F D20B971BEF7B971A46CB873B971A46CC3FEB14DA440B8D5C344>

<4D F736F F D20B971BEF7B971A46CB873B971A46CC3FEB14DA440B8D5C344> 第一部份 : 基本電學 1. 某一 2 馬力發電機輸入電壓有效值為 110 V, 若其效率為 85%, 則其輸入電流有效值約為多少? (A) 1 A (B) 14 A (C) 15 A (D) 16 A 2. 如圖 ( 一 a) 所示電路, 圖 ( 一 b)( 一 c) 為同材質兩導體電阻 R 1 R 2 之結構圖, 求電路電流 I 為多少? 圖 ( 一 a) 圖 ( 一 b) 圖 ( 一 c) (A)

More information

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126 ated Current( 耐電流 ): >3 A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a ultra-low DC resistance. Their impedance mainly comprises resistive part. Therefore,

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

電機與電子群電機類 電機與電子群資電類專業科目 ( 一 ) 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 ˉ 1. 請核對考

電機與電子群電機類 電機與電子群資電類專業科目 ( 一 ) 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 ˉ 1. 請核對考 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 1. 請核對考試科目與報考群 ( 類 ) 別是否相符 2. 請檢查答案卡 ( 卷 ) 座位及准考證三者之號碼是否完全相同, 如有不 符, 請監試人員查明處理 3. 本試卷分兩部份,

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

男人的大腦 女人的大腦

男人的大腦 女人的大腦 46 2014 6 498 男女大乾坤 男女的戀愛行為 男人的大腦 女人的大腦 2014 6 498 47 48 2014 6 498 女人的戀愛行為 70 900 男人的戀愛行為 8 2014 6 498 49 50 2014 6 498 對於愛與性的混淆 男女所面臨的問題 和我一樣喜歡做愛除了我, 不可以看別人相信我, 沒有問題現在, 和我做愛知道如何引燃我從不傷害我 朋友關係和性 嫉妒和占有欲

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

PowerPoint Presentation

PowerPoint Presentation 實驗一報告 報告繳交期限 : 10 月 9 日 ( 二 )11:00 Report content ( 報告內容 ) 1. Complete three experiment reports ( 三份實驗紀錄表格檔案 ) 2. Complete additional questions 請合併成一個 PDF 檔案寄到助教的信箱 (belab.ntu@gmail.com), 主旨標明組別及實驗序 行事曆

More information

理性真的普遍嗎 注意力的爭奪戰 科學發展 2012 年 12 月,480 期 13

理性真的普遍嗎 注意力的爭奪戰 科學發展 2012 年 12 月,480 期 13 12 科學發展 2012 年 12 月,480 期 你可能不知道的媒體影響 劉正山若用 選戰 的角度來看選舉和參與選舉, 你大腦裡情感的作用一定大過理性的作用, 便會很習慣地拿各種媒體或別人的觀點來使自己的選擇合理化 2012 理性真的普遍嗎 注意力的爭奪戰 科學發展 2012 年 12 月,480 期 13 14 科學發展 2012 年 12 月,480 期 agendasetting 報紙和網路新聞的頭版空間有限,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

Microsoft PowerPoint - electronics.ppt

Microsoft PowerPoint - electronics.ppt 機電系統電子學 Electronics 大綱 0. 阻抗 1. 基本電子元件 2. 通用電子儀器 3. 運算放大器 4. 邏輯電路 5. 類比數位轉換器 6. 運算處理單元 7. 其他常用的電子元件 8. 實例探討 阻抗 (impedance) 輸入阻抗 I 歐姆定律電功率電阻串聯併聯 V I meter R V meter 量測原則 : 儘量不影響原受測系統故電壓計的內阻 ( 輸入阻抗 ) 要 故電流計的內阻

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859 156 12 (02)

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 210 (02)2586-5859  156 12 (02) C8 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 sales-head@ic-fortune.com (02)2809-4742 audit@ic-fortune.com 27 28 (02)2809-4742 210 (02)2586-5859 http://www.yuanta.com.tw 156 12

More information

4

4 練習 9A ( 9. 特殊角的三角比 T ( 在本練習中, 不得使用計算機 如有需要, 答案以根式或分數表示. 試完成下表 三角比 θ 0 4 60 sin θ cos θ tan θ 求下列各數式的值 (. cos 60. sin 4 4. tan 4. cos0 4 tan 0 7. sin 4 cos 4 8. cos 60 tan 4 9. tan 60sin 0 0. sin 60 cos

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Hz 1 k ,186 Hz k 4 k 8 k 2 k

Hz 1 k ,186 Hz k 4 k 8 k 2 k 樂音或噪音! 頻率與音高 6 215 2 56 44 16 25, Hz 2 2 K Hz 27.5 4,186 Hz 2196.16 47 13289.75 9397.27 77 8372.2 5587.65 4186.1 3729.31 44. 261.63 41.2 32.7 27.5 18.35 16.35 2 25 5 Hz 1 k 27.5 4,186 Hz 63 125 2 k 4 k

More information

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本 注意 : 考試開始鈴響或綠燈亮前, 不可以翻閱試題本 107 學年度身心障礙學生升學大專校院甄試試題本 甄試類 ( 群 ) 組別 : 四技二專組 電機與電子群資電類 考試科目 ( 編號 ): 專業科目 ( 二 ) 數位邏輯 數位邏輯實習 電子學實習 計算機概論 (C2213) 作答注意事項 1. 考試時間 :90 分鐘 2. 請在答案卷上作答, 答案卷每人一張, 不得要求增補 3. 請核對報考甄試類

More information

46 2011 11 467 數位遊戲式學習系統 7 2011 11 467 47 3 DBGameSys 48 2011 11 467 正規化資料模組 如何配置並儲存電子化資料 以 便減少資料被重覆儲存的程序 DBGameSys的主要功能模組包 學習者 審核評分模組 含 正規化資料模組 審核評分 模組 高分列表模組3大區塊 系統資料庫 在正規化資料模組的執行 高分列表模組 過程中 先要求學習者瀏覽遊戲

More information

< E6577B0F2C2A6B971B8F42E747066>

< E6577B0F2C2A6B971B8F42E747066> 農業自動化叢書 12 機電整合 第七章 國立嘉義大學生物機電工程學系暨研究所 / 楊朝旺助理教授 第一節 二極體... 123 第二節 雙極性接面電晶體... 124 第三節 閘流體... 126 第四節 運算放大器... 128 第五節 電源供應器... 129 基礎電路 第一節二極體二極體 (Diode) 是一種單向元件, 僅允許電流從一固定方向流過 在本節中, 您將學會順向與逆向偏壓的意義,

More information

开关电源入门.PPT [兼容模式]

开关电源入门.PPT [兼容模式] 1. 开 关 电 源 概 念 的 引 入 开 关 电 源 入 门 介 绍 1.1 电 源 的 重 要 性 : ( 对 电 源 的 理 解!) 电 源 犹 如 人 体 的 心 脏, 是 所 有 电 器 设 备 的 动 力 一 切 设 备 需 要 电 源 ; 设 备 更 新, 电 源 也 跟 随 更 新 市 电 220Vac/50Hz 通 常 不 能 直 接 给 设 备 供 电, 因 为 不 同 的 设

More information

* 1 2 [r] [p] Ü . 12 3 4 5 89 bk bl bm bn 7 6 1 2 3 4 5 6 7 8 cl ck bt bs br bq bo 9½ bk r bl bm 0 bn bo bp [ ] [ ] [ ] [ ] [SET] bp bq br bs bt 8 2 4 6 ck p cl cocn cm cm cn co. 1 2 1+ - 2 3 3 .

More information

untitled

untitled 4V01 1.5 1. 1.5 2. 1. 2. 3. 1 2 34 5 6 7 7 7 7 8 8 8 8 89 910 10 11 12 13 14 15 14 15 16 16 17 17 17 18 110 V60 Hz 110 V () ( 1.5) 15 50 1 2 1. 2. 3. 4. 5. 6. 7. 8. 2 9. 10 cm() 10. 1. () () () 2. () 1.

More information

Microsoft Word - 第1章 導論

Microsoft Word - 第1章  導論 第 1 章導論 研習完本章, 將學會 1. 電子元件 ---------------------------------------------01 2. 測量儀器 ---------------------------------------------04 3. 符號與代號 ---------------------------------------------07 4. 電阻 ---------------------------------------------09

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 代號 :3506 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分,

100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 代號 :3506 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分, 100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分, 須用 2B 鉛筆在試卡上依題號清楚劃記, 於本試題上作答者, 不予計分 可以使用電子計算器 1 N 通道增強型 (Enhancement

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Dimensions 尺寸 K RJ45 Connector 48" [1219 mm] D E 48" [1219 mm] RJ45 Connector 接頭 Ø F J 迴路數量 number of circuits K 不間斷安培 continuous amps RJ45 Connector

Dimensions 尺寸 K RJ45 Connector 48 [1219 mm] D E 48 [1219 mm] RJ45 Connector 接頭 Ø F J 迴路數量 number of circuits K 不間斷安培 continuous amps RJ45 Connector 系列說明總覽 Series Overview 精簡膠囊型設計 Compact Capsule Design Fully Compliant With IEEE 802.3 Formats 100Base-T / 1000Base-T Ethernet Cable 完全符合 IEEE 802.3 格式 100Base-T / 1000Base-T 以太網電纜 T568B Wiring Terminated

More information

3-2 連比例 連比的運算性質 a b c 0 a b c (a m) (b m) (c m

3-2 連比例 連比的運算性質 a b c 0 a b c (a m) (b m) (c m 114 3-2 連比例 1 連比與連比例式 2 應用問題 主題 1 連比與連比例式 12 15 3 6 15 3 6 15 3 6 連比 15 3 6 15 3 3 6 15 6 600 120 15 3 6 7 2 1 3-2 連比例 115 24 2 30 6 12 4 1 3 5 1 2 12 24 4 15 3 6 30 6 12 5 1 2 15 3 6 30 6 12 15 3 6 5

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Microsoft Word - _m30.doc

Microsoft Word - _m30.doc 1 2 3 4 5 6 7 8 公式 2 4 2 1 能 整除 因此後玩 者贏 且關鍵數 字為3 的倍數 3 0 3 1 不能整除 所 以先拿餘數 2 關鍵數字是 4的倍 數 2 先玩者贏 4 0 4 1 能整除 因此 後玩者贏 且 關鍵數字為 5 的倍數 5 0 5 1 不能整除 所 以先拿餘數 2 關鍵 數字是 6的倍 數 2 先玩者贏 7 0 6 1 能整除 因此 後玩者贏 且 關鍵數字為7

More information

行動醫師 - 可攜式低成本之生物阻抗監測系統 投稿類別 : 工程技術類 篇名 : 行動醫師 - 可攜式低成本之生物阻抗監測系統 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1

行動醫師 - 可攜式低成本之生物阻抗監測系統 投稿類別 : 工程技術類 篇名 : 行動醫師 - 可攜式低成本之生物阻抗監測系統 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1 投稿類別 : 工程技術類 篇名 : 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1 壹 前言 本研究的目的為量測生理電阻訊號, 它是一種非侵入式測量人類或動物的血流量或是體組成物的方法 我們自行製作一台振盪器, 利用它來打一個高頻率的電壓進入人體, 通過人體電阻後, 會產生一個電壓信號, 利用放大器將人體內的微小電壓信號放大, 再將信號的最高點取出來,

More information

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089

Chapter 3 Camera Raw Step negative clarity +25 ] P / Step 4 0 ( 下一頁 ) Camera Raw Chapter 3 089 Photoshop CC Camera Raw Photoshop Camera Raw Step 1 3 1 2 3 SCOTT KELBY Step 2 B Camera Raw 088 Chapter 3 Camera Raw Chapter 3 Camera Raw Step 3-4 -100 negative clarity +25 ] P / -75-50 Step 4 0 ( 下一頁

More information

SRD-N/TRD-N DIMENSIONS 規格尺寸 SRD-N SRD-N + PF08A + FH- 2 UNIT :mm TRD-N TRD-N + 8PFA 101 SRD-N/TRD-N POWER OPERATION 操作方式 4

SRD-N/TRD-N DIMENSIONS 規格尺寸 SRD-N SRD-N + PF08A + FH- 2 UNIT :mm TRD-N TRD-N + 8PFA 101 SRD-N/TRD-N POWER OPERATION 操作方式 4 STON STAR-DELTA TIMER SRD-N/TRD-N 馬達啟動器 SRD-N ORDERING INFORMATION 訂購說明 TRD-N Ex S RDN 0S A220 CE Approved. STON or P&B RELAY inside. MOTOROLA IC inside. Standard change time is 0.1Seconds. CE 認證 內部採用美國第一品牌

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

淺談參考電壓(Voltage Reference)

淺談參考電壓(Voltage Reference) 淺談參考電壓 (Voltage Reference) 大多數的類比電路, 都需要參考用的電壓 電流 或是 時間 參考電壓提供一個準則, 如 DAC 或 ADC 的 LSB 大小 穩壓器 (Regulator) 的輸出電壓位準 電池充電器的開與關等, 都是由參考電壓源或參考電流源所提供及決定 近年來, 由於製程的進步, 以及環保的需求, 使得系統操作電壓不斷地下降, 舊式的各種參考電壓線路逐一失效 本文將介紹於各個電壓下,

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

ch-07.tpf

ch-07.tpf 第 7 章 電晶體的發明, 造就了今日的電子工業, 由此可見電晶體的重要性 本章除了針對電晶體的結構 符號與各項特性做說明之外, 也對於電晶體幾個常用的放大電路, 作詳細的分析 期待學完本章之後, 對電晶體能有一個完整又清晰的概念 本章實習時數 : 12 小時 7-1 電晶體的結構與符號 認識電晶體的結構與符號 瞭解電晶體的基本特性 瞭解電晶體的工作特性 能正確使用電晶體完成電路功能 培養電晶體電路測試與檢修能力

More information

Three Point Inside Micrometers

Three Point Inside Micrometers 三點式內徑測微器 ( 刻劃式 ) Three Point Inside Micrometer (Vernier) TP-9006 TP-9008 TP-9010 TP-9012 TP-9016 TP-9020 TP-9025 TP-9030 TP-9040 TP-9050 TP-9062 TP-9075 TP-9087 6-8mm 8-10mm 10-12mm 12-16mm 16-20mm 20-25mm

More information

Microsoft Word - EX1

Microsoft Word - EX1 實驗一運算放大器的應用電路 實驗目的 應用運算放大器製作濾波器與光偵測器並了解其動作原理 實驗儀器 免焊萬用電路板 數位式三用電錶 直流電源供應器 示波器 訊號產生器 接線及香蕉插座零件盒各一 741 411 光二極體 LED 光敏電阻 電容及電阻若干 預習問題 1. 請問 db 的定義? 何謂波德圖 (Bode plot)? 2. 程序 < 二 > 中之高通濾波器, 請參閱補充資料計算出 (1)

More information

投稿類別 : 工程技術類 篇名 : 40 C up( 溫度智能感應電風扇 ) 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師

投稿類別 : 工程技術類 篇名 : 40 C up( 溫度智能感應電風扇 ) 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師 投稿類別 : 工程技術類 篇名 : 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師 壹 前言 一 研究動機 在這個科技日新月異的時代, 各類 3C 產品儼然已經成為生活的必需品, 根 據資策會 (FIND) 統計, 台灣 12 歲以上使用智慧型手機或平板電腦的使用者已達

More information

PID

PID F&B 100% PID 1 3 5 7 9 11 13 14 26 28 1.1. XMGA5000/XMGA6000 PID 4 2 1 3 PID 1.2. 1.2.1. 4 (AI) IN1(PV1) IN2(PV2) (XMGA6000) IN3(PV3) 010mA/420mA/05V/15V (OUT2) DI1 / ( ) PV1 (OUT2) DI1 ( )/ IN4 (RP) 010mA/420mA/05V/15V

More information