Microsoft PowerPoint - chx09_org16_pipelining_3.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - chx09_org16_pipelining_3.ppt"

Transcription

1 计算机组织与系统结构 利用流水线改进性能 Enhancing Performance with Pipelining ( 第十六讲 ) 程旭 上一讲总结 流水线向下传递控制信息, 就象向下传递数据一样 通过局部控制解决前递 / 暂停 意外事件会导致流水线停止 MIPS 指令系统体系结构中流水线是可见的 ( 延迟转移 延迟装入 ) 更深的流水线 更多的并行度可能获得出更高的性能 中断 指令系统 浮点操作加大流水线的难度 编译器可以减少数据和控制冒险的代价 装入延迟槽 转移延迟槽 转移预测 再谈流水线冒险 I-Fet ch Structural Hazard DCD MemOpFetch IFetch Exec DCD?? Store I-Fet ch DCD MemOpFetch OpFetch Exec Store 数据冒险 如何避免一些冒险 通过总是在流水线的前段 (DCD) 取操作数, 来消除 WAR 通过按序完成所有回写操作 ( 在最后一级, 静态 ), 来消除 WAW I-Fet ch DCD OpFetch Jump IFetch DCD?? Control Hazard 检测并解决 RAW 暂停, 并尽可能前递 IF DCD EX Mem WB RAW (read after write) Data Hazard IF DCD EX Mem WB WAW Data Hazard (write after write) IF DCD EX Mem WB IF DCD OF Ex Mem IF DCD OF Ex RS WAR Data Hazard (write after read) IF DCD EX Mem WB RAW Data Hazard IF DCD EX Mem WB WAW Data Hazard IF DCD EX Mem WB IF DCD OF Ex Mem IF DCD OF Ex RS RAW Data Hazard

2 意外事件中的问题 意外事件 / 中断 : 在 5 段流水线中执行着 5 条指令 如何停止流水线? 重启? 哪些问题产生中断? 段名可能出现的中断问题 IF 取指页失效 未对准存储器访问 存储保护违例 ID 未定义或非法操作码 EX 算术意外事件 MEM 取数据页失效 未对准存储器访问 存储保护违例 存储器错误 教学目标 : 已经掌握的内容 计算机的五个基本部件 处理器 控制 输入存储器 数据通路输出 产生数据页失效的 Load 指令 产生指令页失效的 Add 指令? 解决方案 1: 中断向量 / 指令 解决方案 2: 尽可能早地中断执行, 之后, 重启所有未执行完的操作 本讲主题 : 高级流水线技术 参考 : MIPS R3000 的时钟定时策略 MIPS R3000 指令流水线 phi1 phi2 双相无重叠时钟 (2-phase non-overlapping clocks) 流水线段两级锁存 ( 电平使能 ) 边沿触发 phi1 phi2 phi1 Inst Fetch TLB 使用资源情况 TLB Decode Reg. Read ALU / E.A Memory Write Reg I-Cache RF Operation WB I-cache RF E.A. TLB D-Cache TLB ALU ALU WB D-Cache 在第一相进行写, 在第二相进行读 => 消除了从 WB 段的旁路

3 关于 r1 的数据冒险 立即向后相关就可能出现冒险 时间 ( 时钟周期 ) IF ID/RF EX MEM WB MIPS R3000 的多周期操作 op Rd Ra Rb 指 add r1,r2,r3 sub r4,r1,r3 IM Reg IM ALU Reg DM ALU Reg DM Reg mul Rd Ra Rb A B 例如 : 乘法 除法 Cache 失效 暂停流水线中多周期操作之上的所有流水段 令次序 and r6,r1,r7 or r8,r1,r9 xor r10,r1,r11 IM Reg IM DM Reg ALU Reg Mem 在 MIPS R3000 流水线中, 无需从 WB 段进行前递! ALU Reg DM Reg ALU Mem Reg Rd Rd Rd Rd 至寄存器堆 ALU R T 排空 ( 空泡 ) 它之下的所有段 使用本地流水段状态的控制字来一步步执行多周期操作 简单的超标量 不相关整数指令和浮点指令被发送到不同的流水线 Operand / Result Busses Int Reg Int Unit I-Cache Inst Issue and Bypass Load / Store Unit D-Cache FP Reg FP Add FP Mul 使 CPI < 1: 每一周期发射多条指令 两种主要结构 : 超标量和超长指令字 超标量 : 每一周期可能发射不同数量的指令 ( 从 1 条到 6 条 ) 视并行度和相关的具体情况而定 由硬件处理 IBM PowerPC 604 Sun UltraSparc DEC Alpha HP 7100 超长指令字 : 固定数量的指令 ; 编译器确定可开发的并行度 流水线可见 ; 编译器必须对延迟槽进行指令调度, 以确保结果正确 Itanium: Explicit Parallel Instruction Computer (EPIC) 128 位指令包 (packets) 包含三条指令 ( 可以串行执行 ) 可以将 128 位指令包联接起来, 允许更高的并行性 编译器来决定并行度, 硬件检测指令间的相关和前递 / 暂停

4 循环展开 : 减小标量延迟 1 Loop: LD F0,0(R1) 2 LD F6,-8(R1) 3 LD F10,-16(R1) 4 LD F14,-24(R1) 5 ADDD F4,F0,F2 6 ADDD F8,F6,F2 7 ADDD F12,F10,F2 8 ADDD F16,F14,F2 9 SD 0(R1),F4 10 SD -8(R1),F8 11 SD -16(R1),F12 12 SUBI R1,R1,#32 13 BNEZ R1,LOOP 14 SD 8(R1),F16 ; 8-32 = 个时钟周期或者每次迭代 3.5 个周期 LD to ADDD: 1 Cycle ADDD to SD: 2 Cycles 超标量中的循环展开 整数指令浮点指令时钟周期 Loop: LD F0,0(R1) 1 LD F6,-8(R1) 2 LD F10,-16(R1) ADDD F4,F0,F2 3 LD F14,-24(R1) ADDD F8,F6,F2 4 LD F18,-32(R1) ADDD F12,F10,F2 5 SD 0(R1),F4 ADDD F16,F14,F2 6 SD -8(R1),F8 ADDD F20,F18,F2 7 SD -16(R1),F12 8 SD -24(R1),F16 9 SUBI R1,R1,#40 10 BNEZ R1,LOOP 11 SD -32(R1),F20 12 为了避免延迟 ( 超标量需要 +1), 把循环展开 5 次 12 个时钟周期, 每次迭代 2.4 周期 软件流水技术 发现 : 如果循环的每次迭代之间没有相关, 那么通过从不同的迭代中抽取指令来获得更高的指令级并行性 软件流水 : 对循环进行重构, 使得每次迭代执行的指令是属于原循环的不同迭代过程的 ( 软件形式的 Tomasulo 算法 ) Softwarepipelined Iteration Iteration 0 Iteration 1 Iteration 2 Iteration 3 Iteration 4 软件流水示例 软件流水化之前 : 展开 3 次 1 LD F0,0(R1) 2 ADDD F4,F0,F2 3 SD 0(R1),F4 4 LD F6,-8(R1) 5 ADDD F8,F6,F2 6 SD -8(R1),F8 7 LD F10,-16(R1) 8 ADDD F12,F10,F2 9 SD -16(R1),F12 10 SUBI R1,R1,#24 11 BNEZ R1,LOOP 软件流水化之后 1 SD 0(R1),F4 ; Stores M[i] 2 ADDD F4,F0,F2 ; Adds to M[i-1] 3 LD F0,-16(R1);Loads M[i-2] 4 SUBI R1,R1,#8 5 BNEZ R1,LOOP

5 循环展开和软件流水的示例图 软件流水 循环展开 超标量结构的限制 虽然分离整数部件和浮点部件对硬件并不困难, 但是为了实现 CPI=0.5, 执行的程序必须满足 : 浮点操作恰好占整个指令总数的 50% 指令间没有冒险 如果同时发射更多的指令, 译码和发射机制都将更加困难 即使对于双发射超标量 => 检测 2 个操作码, 6 个寄存器标识符, 并且确定是发射单条指令, 还是发射两条指令 软件流水 代码空间较小 只需填充和排空流水线一次而循环展开每次迭代就需要一次 超长指令字结构 VLIW: 指令空间和简化译码之间的权衡 长指令字具有存放多个操作的空间 编译程序放置在同一长指令字中的操作可以并行执行 例如, 2 个整数操作 2 个浮点操作 2 个存储器访问操作 1 个转移操作 - 每一场位 16 ~ 24 位 7 16 (112) 位 ~ 7 24(168) 位宽度 需要编译技术来在多条转移指令之间进行指令调度 VLIW 中的循环展开 存储器访问 1 存储器访问 2 浮点操作 1 浮点操作 2 整数 / 转移 时钟 LD F0,0(R1) LD F6,-8(R1) 1 LD F10,-16(R1) LD F14,-24(R1) 2 LD F18,-32(R1) LD F22,-40(R1) ADDD F4,F0,F2 ADDD F8,F6,F2 3 LD F26,-48(R1) ADDD F12,F10,F2 ADDD F16,F14,F2 4 ADDD F20,F18,F2 ADDD F24,F22,F2 5 SD 0(R1),F4 SD -8(R1),F8 ADDD F28,F26,F2 6 SD -16(R1),F12 SD -24(R1),F16 7 SD -32(R1),F20 SD -40(R1),F24 SUBI R1,R1,#48 8 SD -0(R1),F28 BNEZ R1,LOOP 9 为了避免延迟, 展开 7 次 9 个周期产生 7 个结果 每次迭代 1.3 个周期 VLIW 需要更多的寄存器 (EPIC 128int + 128FP)

6 踪迹调度 (Trace Scheduling) 跨越 IF 转移指令 ( 不一定是 LOOP 转移指令 ) 的并行度 两步 : 踪迹选择 (Trace Selection) - 发现 ( 静态分析预测的 ) 执行代码序列中最可能执行的基本块序列 (trace) 踪迹压缩 (Trace Compaction) - 将踪迹挤压成一些 VLIW 指令 - 需要增加一些标记代码 ( bookkeeping code), 以防预测错误 踪迹 硬件策略 : 指令并行 为什么需要硬件在程序执行过程中处理? 对于在编译时不能准确辨别的实际相关, 硬件策略可以很好地工作 编译器更加简单 为一台机器编写的代码可以在另外的机器上很好地工作 主要思想 : 希望暂停指令的后续指令继续处理 DIVD F0,F2,F4 ADDD F10,F0,F8 SUBD F12,F8,F14 允许乱序执行 => 乱序完成 指令译码段检测结构相关 l 硬件策略 : 指令并行 乱序执行需要进一步划分指令译码段 : 1. 发射 : 指令译码, 检测结构冒险 2. 读操作数 : 等待到没有数据冒险, 再读取操作数 记分板 (Scoreboards) 允许满足上述两个条件的指令被立即执行, 而无需等待到前面的指令执行完毕 CDC 6600: 按序发送 乱序执行 乱序提交 ( 也称为乱序完成 ) 记分板 (Scoreboard,CDC 6600) + (0) 产生该数值的部件号 (1) (2) Mem (3) 如果有空闲的功能部件并且没有未决的对其目标进行修改的指令, 就立即发射 - 保持直到寄存器可用 - 当准备好后, 取操作数 执行 - 在回写段修改计分板 r1 M[r1 + r2] r2 r2 * r3 r4 r2 + r5 r2 r0 op Ra? Rb? Rd S1 S2 op Ra? Rb? Rd S1 S2 op Ra? Rb? Rd S1 S2 指令

7 Tomosulo 算法 Source Station (0) r1 r0+m[r1 + r2] r2 r2 * r3 r4 r2 + r5 r2 r0 寄存器换名 使用很大一组通用寄存器, 编译可以通过换名技术来消除 WAR 冒险 - 有时, 需要增加移动操作 (move) - 硬件可以在运行过程中解决这一问题 ( 但是却不能考察程序的其他部分 ) 体系结构定义的寄存器映射表指令 Source Station 操作码 + + 数值或 Source Tag ( 保留站或装入缓冲器号 ) 状态 MEM 分布解决方案 - 在发射时, 拷贝可用参数 - 对等待的操作数从功能部件直接前递 取操作数所有的源寄存器通过映射表进行换名 发射时 : 为目标寄存器赋予一个新的伪寄存器修改映射表 - 在下一次写入之前, 适用于所有后续指令 很大的内部寄存器 记分板产生的问题 乱序完成 => WAR WAW 冒险 WAR 冒险的解决方案 把操作和它们所需操作数的拷贝排队等待 只有在读操作数段才读寄存器 对于 WAW 冒险, 必须检测该冒险 : 暂停直到其他指令完成 在执行阶段需要支持多条指令同时执行 => 多套执行部件或者流水化执行部件 计分板纪录指令间相关情况 状态或操作信息 计分板用四段流水替代了流水线的 ID EX WB 段 动态超标量的性能 迭代指令发射执行写结果序号时钟周期数目 1 LD F0,0(R1) ADDD F4,F0,F SD 0(R1),F SUBI R1,R1,# BNEZ R1,LOOP LD F0,0(R1) ADDD F4,F0,F SD 0(R1),F SUBI R1,R1,# BNEZ R1,LOOP 8 9 每次迭代 4 个周期转移仍然需要 1 个时钟周期

8 动态转移预测 解决方案 : 2 位策略, 只有当连续两次预测错误后才改变预测方向 Taken Predict Taken Taken Predict Not Taken Not Taken Taken Not Taken Taken Predict Taken Not Taken Predict Not Taken Not Taken 转移历史表的正确率 产生错误预测的原因 : 对该指令产生了错误猜测 在对转移历史表进行检索时, 使用了错误转移指令的转移历史信息 对于 4096 表项的情况, 不同程序的转移预测率从 99% (nasa7, tomcatv) 到 82% (eqntott), 其中 spice 为 91% gcc 为 88% 4096 个表项已经基本上可以与无穷表项的情况做的一样好! 预测的同时还需要地址 转移目标缓冲器 (BTB): 转移索引的地址可以得到预测方向和转移目标地址 ( 如果发生 ) 注 : 由于不能使用错误的转移地址, 现在必须对转移进行检测 返回预测的转移地址 Number of entries in branchtarget buffer PC PC of of instruction to to fetch Look up = 转移目标缓冲器 No: Inst is not predicted to be branch. Proceed normally Yes: then instruction is branch and predicted PC should be used as the next PC Branch predicted taken or untaken

9 动态转移预测小结 转移历史表 : 为每个循环需要 2 位 转移目标缓冲器 : 包括转移地址和预测 可获得更多的指令级并行的硬件支持 通过把转移指令转化为条件执行的指令来消除转移预测 : if (x) then A = B op C else NOP 如果条件不成立, 那么既不存储结果, 也不产生意外事件 Alpha MIPS PowerPC SPARC 的扩展指令系统体系结构具有条件执行的移动指令 (conditional move); PA-RISC 可以废止任何后续指令 EPIC: 由 64 个可选的 1 位条件场位 (condition field) 来支持条件性执行 条件性指令的缺点 即使是被废止的指令也需要占用一个时钟周期 ; 如果在后期进行条件评测, 则产生暂停 ; 复杂的条件不利于高效处理 ; 在流水线的晚期才知道条件是否满足 可获得更多的指令级并行的硬件支持 ( 续一 ) 推测式执行 (Speculation): 如果转移实际没有发生 ( 硬件修复 ), 允许指令不产生任何影响 ( 包括意外事件 ) 通常, 与动态指令调度结合 将结果的推测式旁路和结果的实际旁路分离开 当指令不再是推测式后, 回写结果 ( 指令提交 ) 乱序执行, 但按序提交 可获得更多的指令级并行的硬件支持 ( 续二 ) 对于还没有提交的指令的结果需要硬件的缓冲器 : 重排序缓冲器 ( reorder buffer) 重排序缓冲器可以是操作数的源 一旦操作数提交, 在寄存器中就可以找到结果 3 个场位 : 指令类型 目标 数值 用重排序缓冲器的编号替代保留站 这样, 就可以很容易地撤销由于错误预测的转移或意外事件导致的推测式指令 FP Op Queue Res Stations FP Adder Reorder Buffer FP Regs Res Stations FP Adder

10 重排序缓冲器跟踪尚未完成的对寄存器的修改操作 - 与寄存器访问并行, 根据优先级对重排序缓冲器进行并行查找 - 如果命中, 说明寄存器堆的内容是旧值, - 重排序缓冲器提供新值 - 重排序缓冲器向功能部件提供需要旁路的新值 - 当指令完成时, 将职能转让给寄存器堆 PowerPC 604 和 Pentium Pro 的动态调度 PC PC Branch Prediction Instruction Cache Instruction Queue Decode/dispatch unit unit Data Cache Register File File 重排序缓冲器 寄存器号 指令 寄存器堆 Station Station Station Station Station Station Station Station Station Station Station Station Branch Integer Integer Floating Point Store Complex integer Load Load/ Store 执行部件 都是按序发射 乱序执行 按序提交 Commit Unit Reorder Buffer PowerPC 604 和 Pentium Pro 的动态调度 ( 续 ) 参数 PowerPC PentiumPro 发射的最多指令数 / 时钟 4 3 完成执行的最多指令数 / 时钟 6 5 提交的最多指令数 / 时钟 6 3 重排序缓冲器中的指令 换名缓冲器的数目 12 Int/8 FP 40 保留站的数目 整数功能部件的数目 2 2 浮点功能部件的数目 1 1 转移功能部件的数目 1 1 复杂整数功能部件的数目 1 0 存储部件的数目 1 1 load +1 store Pentium Pro 中的动态调度 PentiumPro 并没有对 80x86 指令直接流水处理 Pentiumpro 译码部件将 Intel 指令变换成 72 位的微操作 ( 相当于 MIPS 的指令 ) 将这些微操作送到重排序缓冲器和保留站 需要一个周期来测定 80x86 指令的长度 + 两个以上的周期来创建微操作 大多数指令变换成一个到四个微操作 复杂的 80x86 指令通过一个常规的微程序 (8K x 72 bits) 来执行, 它将发射一个较长的微操作序列

11 多发射机器的限制 多发射机器的限制 ( 续 ) 指令级并行性的内在限制 每 5 条指令 1 个转移 : 如何使 5- 路的 VLIW 忙碌? 部件的延迟 : 必须调度许多操作 大约需要流水线深度 独立功能部件数目的并行指令 加大硬件设计实现 为了支持并行执行, 复置功能部件 增加寄存器队的端口 - 例如,VLIW 可能需要整数寄存器堆具有 7 个读端口和 3 个写端口 增加存储器的端口 对超标量译码, 以及对时钟频率和流水线深度的影响 超标量或超长指令字实现的特定限制 超标量中的译码发射 VLIW 的代码大小 : 循环展开 + VLIW 中的空场位 VLIW 锁步 (lock step) => 1 种冒险 hazard, 所有指令暂停 VLIW : 二进制码兼容问题 总结 MIPS 指令系统体系结构使得流水线可见 ( 延迟转移 延迟装入 ) 利用更深的流水线 并行性来获得更高的性能 超标量和超长指令字 CPI < 1 动态发射与静态发射 同时发射更多的指令, 使指令间相关的损失有加大的倾向 思考 如何使处理器更快 更有效? 软件流水 可以使得流水线更加有效地工作 代码膨胀小 开销少

12 算法 从算法到程序 C Code example typedef enum {ADD, MULT, MINUS, DIV, MOD, BAD} op_type; C Code example typedef enum {ADD, MULT, MINUS, DIV, MOD, BAD} op_type; 程序在计算机系统上处理 char unparse_symbol(op_type op) { switch (op) { case ADD : return '+'; case MULT: return '*'; case MINUS: return '-'; case DIV: return '/'; case MOD: return '%'; case BAD: return '?'; } } char unparse_symbol(op_type op) { switch (op) { case ADD : return '+'; case MULT: return '*'; case MINUS: return '-'; case DIV: return '/'; case MOD: return '%'; case BAD: return '?'; } } 处理器 控制 数据通路 存储器 输入 输出 静态程序流图到动态处理流图 计算机系统中的七种序列 提交序列 : 指令退离处理器 完成序列 : 指令操作完成 执行序列 : 指令开始执行 发送序列 : 指令发送到执行部件 译码序列 : 指令开始译码 取指序列 : 处理器访问存储器中的指令 存储序列 : 程序在存储器中的存放地址

Pipelining Advanced

Pipelining Advanced 计算机组织与系统结构 利用流水线改进性能 Enhancing Performance with Pipelining ( 第八讲 ) 程旭 2014.12.1 上一讲总结 流水线向下传递控制信息, 就象向下传递数据一样 通过局部控制解决前递 / 暂停 意外事件会导致流水线停止 MIPS 指令系统体系结构中流水线是可见的 ( 延迟转移 延迟装入 ) 更深的流水线 更多的并行度可能获得出更高的性能 中断

More information

第五章 重叠、流水和现代处理器技术

第五章 重叠、流水和现代处理器技术 2006 5 l t 1 t 2 t 3 t 4 I: add r1,r2,r3 J: sub r4,r1,r5 : (Hazard) : (Hazard) Instr 1 Instr 2 ( ) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Load Ifetch ALU DMem Instr 1 Ifetch ALU DMem

More information

Microsoft PowerPoint - chx08_arch02_ilp.ppt

Microsoft PowerPoint - chx08_arch02_ilp.ppt 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2008 年 10 月 13 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) Read-after-Write r 5 (r 3 ) op (r 4 ) (RAW) hazard 反相关 (Anti-dependence)

More information

chx10_arch02_ilp.ppt [兼容模式]

chx10_arch02_ilp.ppt [兼容模式] 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2010 年 3 月 29 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) Read-after-Write r 5 (r 3 ) op (r 4 ) (RAW) hazard 反相关 (Anti-dependence)

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2012 年 3 月 5 日 复习 : 三种数据冒险 对于执行如下类型的指令序列 : r k (r i ) op (r j ) 真数据相关 (True Data-dependence) r 3 (r 1 ) op (r 2 ) r 5 (r 3 ) op (r 4 ) Read-after-Write (RAW) hazard 反相关 (Anti-dependence)

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第三讲 ) 程旭 2014 年 3 月 31 日 三种数据相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

Microsoft PowerPoint - CHX05_arch04_tomasulo.ppt

Microsoft PowerPoint - CHX05_arch04_tomasulo.ppt 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2005 年 3 月 21 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 在编译时有些相关情况不能真正判定 针对某一机器产生的代码可以在另一机器上有效运行 记分板的核心思想

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2004 年 3 月 8 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第三讲 ) 程旭 2013 年 3 月 25 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

Training

Training 计算机组织与系统结构 流水技术引论 Introduction to Pipelining ( 第六讲 ) 程旭 2014.11.26 MUX 0 1 MUX 0 1 Mux 0 1 32 ctr Clk busw Wr 32 32 busa 32 busb 5 5 5 Rw Ra Rb 32 32-bit isters Rs Rt Rt Rd Dst Extender 32 16 imm16 Src

More information

chx10_arch03_OoOIssue.ppt [兼容模式]

chx10_arch03_OoOIssue.ppt [兼容模式] 高等计算机系统结构 Tomasulo 算法 ( 第三讲 ) 程旭 2010 年 4 月 12 日 上一讲小结 < 软件或硬件的指令级并行 (ILP) < 循环级并行最容易判定 < 软件并行性取决于程序, 如果硬件不能支持就出现冒险 < 软件相关性 / 编译器复杂性决定编译中是否能展开循环 = 存储器相关是最难判定的 < 硬件开采 ILP 动态调度 (dynamic scheduling) = 在编译时有些相关情况不能真正判定,

More information

untitled

untitled CPU!! 00-11-8 Liping zhang, Tsinghua 1 : ADD(r1, r, r) CMPLEC(r, 5, r0) MUL(r1, r, r) SUB(r1, r, r5) ADD r, ( ) r CMP. CMP r.. t t + 1 t + t + t + t + 5 t + 6 IF( ) ADD CMP MUL SUB RF NOP ADD CMP MUL SUB

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 Tomasulo 算法 ( 第四讲 ) 程旭 2014 年 3 月 31 日 上一讲小结 软件或硬件的指令级并行 (ILP) 循环级并行最容易判定 软件并行性取决于程序, 如果硬件不能支持就出现冒险 软件相关性 / 编译器复杂性决定编译中是否能展开循环 存储器相关是最难判定的 硬件开采 ILP 动态调度 (dynamic scheduling) 在编译时有些相关情况不能真正判定,

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2016 年 3 月 14 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2015 年 3 月 30 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

计算机组织与系统结构

计算机组织与系统结构 高等计算机系统结构 指令级并行处理 ( 第二讲 ) 程旭 2017 年 3 月 13 日 三种指令相关 1. Data dependences (also called true data dependences) 2. name dependences 3. control dependences An instruction j is data dependent on instruction

More information

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt

Microsoft PowerPoint - chx09_org14_pipelining_1.ppt 计算机组织与系统结构 流水技术引论 Itroductio to Pipeliig ( 第十四讲 ) 程旭 29.5 rach Jump st 单周期处理器 busw Wr 5 5 Istructio Fetch Uit Rd imm6 Istr Rs 5 Rw Ra Rb -bit isters 6 Istructio op bus Exteder

More information

没有幻灯片标题

没有幻灯片标题 高等计算机系统结构 现代指令级并行技术 ( 第四讲 ) 程旭 2012 年 3 月 26 日 流水线的性能 通过更加复杂的流水线和动态调度开发隐形 (imlicit) 指令级并行性 乱序执行执行, 同时保证 : 真数据相关 (RAW) 精确中断 通过寄存器换名, 消除 WAR 和 WAW 冒险 重排序缓冲器 (Reorder buffer) 保存尚未提交 (committing) 但已完成的结果,

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

3.2 指令级并行概念与技术

3.2 指令级并行概念与技术 硬件投机及多发射 浙大计算机陈文智 chenwz@zju.edu.cn 2014 年 11 月 2014/11/9 1 3.7 Reducing Branch Costs with Dynamic Hardware Prediction(2.3) 1-bit Branch-Prediction Buffer 2-bit Branch-Prediction Buffer Correlating Branch

More information

<4D F736F F F696E74202D20B5DAC1F9D5C220D7F7D2B5B2CEBFBCB4F0B0B8>

<4D F736F F F696E74202D20B5DAC1F9D5C220D7F7D2B5B2CEBFBCB4F0B0B8> 第六章利用流水线提高性能 作业参考答案 参考答案 : P.373 中 ALU 操作时间为 200ps a. ALU 操作时间缩短 25% 不能加快流水线指令速度 因为流水线的速度最终由时钟周期的宽度决定, 而它不会缩短时钟周期 b. 如果 ALU 操作时间延长 25%, 那么,ALU 时间将变为 250ps, 这样,ALU 操作将变成瓶颈, 使得流水线的时钟周期为 250ps, 其效率降低 (250-200)/250=20%

More information

L23

L23 Lecture 26: VLIW & Superscalar 高级流水线技术 高性能流水线 - 指令级并行 (ILP) 技术 超流水线 多发射流水线 - 静态多发射 (VLIW 处理器 + 编译器静态调度 ) - 动态多发射 ( 超标量处理器 + 动态流水线调度 ) 静态多发射 (VLIW- 超长指令字 ) 编译器静态推测完成 指令打包 和 冒险处理 MIPS 2- 发射流水线数据通路 循环展开指令调度

More information

3.2 Ö¸Á²¢ÐиÅÄîÓë¼¼Êõ

3.2 Ö¸Á²¢ÐиÅÄîÓë¼¼Êõ 第三章 高级流水线与指令级并行性 第二部分 ----3.2 节 -3.5 节 石教英 3.2 指令级并行概念与技术 3.2.1 提高流水线性能的思路 (1) 直观思路 : 缩小流水线的 CPI CPIunpipelined 因为 Speedup= ----------------------------- CPIpipelined CPIpipelined = Ideal pipeline CPI+

More information

Microsoft PowerPoint - CA_04 Chapter6 v ppt

Microsoft PowerPoint - CA_04 Chapter6 v ppt Chap. 6 Enhancing Performance with Pipelining 臺大電機系吳安宇教授 V1. 2007/04/20 臺大電機吳安宇教授 - 計算機結構 1 Outline 6.1 An Overview of Pipelining 6.2 A Pipelined Datapath 6.3 Pipelined Control 6.4 Data Hazards and Forwarding

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹

¸ß¼¶¼ÆËã»úÌåϵ½á¹¹ 第三章 高级流水线与指令级并行 石教英 内容提要及与各节的关系 (1) 流水线技术就是指令重叠执行技术, 达到加快运算速度的目的 由于存在三种流水线竞争 : 结构竞争 数据竞争 控制竞争, 导致流水线性能降低, 不能运作在理想的重叠状态, 需要插入停顿周期, 从而使流水线性能降低 --3.1 流水线技术基础 内容提要及与各节的关系 (2) 指令之间可重叠执行性称为指令级并行性 (Instruction

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2)

[Group 9] Give an example of structural hazard ans 1. 假設下列指令是在只有單一記憶體的 datapath 中執行 lw $5, 100($2) add $2, $7, $4 add $4, $2, $5 sw $5, 100($2) Computer Architecture Fall, 2017 Week 13 2017.12.04 [Group 11] 1. 請詳述為何在 MIPS 中不會發生 WAR 與 WAW 這兩種 Hazards ANS: Use simple, fixed designs WAR: 因為 Write 是第五個 Stage,Read 是第二個 Stage, 因此 Write 永遠在 Read 後面,

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

Name__________________________________

Name__________________________________ 6.823 180 21 5 : 5 Part A: 1 5 10 Part B: 6 11 12 Part C: 12 14 12 Part D: 15 23 34 Part E: 24 26 18 Part F: 27 28 16 Part G: 29 32 25 Part H: 33 10 Part I: 34 38 28 Part H: 39 40 10 : 180 Part A 10 Cache

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074>

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074> Ch7: Instruction Pipeline 指令流水线 第一讲流水线数据通路和控制逻辑 第二讲流水线冒险处理 第三讲高级流水线技术 Pipeline.2 第一讲流水线数据通路和控制主要内容 日常生活中的流水线处理例子 : 洗衣服 单周期处理器模型和流水线性能比较 什么样的指令集适合于流水线方式执行 如何设计流水线数据通路 以 MIPS 指令子集来说明 详细设计取指令部件 详细设计执行部件 分析每条指令在流水线中的执行过程,

More information

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63>

<4D F736F F D20B5DAC1F9D5C2CFB0CCE2B4F0B0B8A3A8CDF8D5BEA3A92E646F63> 第六章中央处理器 2. 简单回答下列问题 ( 参考答案略 ) ( 1) CPU 的基本组成和基本功能各是什么? ( 2) 取指令部件的功能是什么? ( 3) 控制器的功能是什么? ( 4) 为什么对存储器按异步方式进行读写时需要 WMFC 信号? 按同步方式访问存储器时, CPU 如何实现存储器读写? ( 5) 单周期处理器的 CPI 是多少? 时钟周期如何确定? 为什么单周期处理器的性能差? 元件在一个指令周期内能否被重复使用?

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

Microsoft PowerPoint - notes3-Simple-filled12

Microsoft PowerPoint - notes3-Simple-filled12 Generic Computer Organization CSE 30321 Computer Architecture I Lecture Notes 3: A Simple Computer: Simple12 And Design at Register Transfer Level Stored Program Machine (vonneumann Model) Instructions

More information

L21

L21 Lecture 25: Pipeline Hazard 流水线冒险的处理主要内容 流水线冒险的几种类型 数据冒险的现象和对策 数据冒险的种类 - 相关的数据是 结果 : 可以通过转发解决 - 相关的数据是 DM 读出的内容 : 随后的指令需被阻塞一个时钟 数据冒险和转发 - 转发检测 / 转发控制 数据冒险和阻塞 - 阻塞检测 / 阻塞控制 控制冒险的现象和对策 静态分支预测技术 动态分支预测技术

More information

<4D F736F F F696E74202D20B5DAC1F9D5C220C0FBD3C3C1F7CBAECFDFCCE1B8DFD0D4C4DC2E707074>

<4D F736F F F696E74202D20B5DAC1F9D5C220C0FBD3C3C1F7CBAECFDFCCE1B8DFD0D4C4DC2E707074> Computer Organization and Design Ch6: Enhanceing Performance with Pipeline 利用流水线提高性能 第一讲流水线数据通路和控制逻辑 第二讲流水线冒险处理 第三讲高级流水线技术 第一讲流水线数据通路和控制主要内容 日常生活中的流水线处理例子 : 洗衣服 单周期处理器模型和流水线性能比较 什么样的指令集适合于流水线方式执行 如何设计流水线数据通路

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

The MIPS Processor Implementation: Pipeline ILP Computer Organization & Design John Hennessy, David Patterson

The MIPS Processor Implementation: Pipeline ILP Computer Organization & Design John Hennessy, David Patterson The IPS Processor Implementation: Pipeline ILP Computer Organization & Design John Hennessy, David Patterson 内容提要 流水线技术原理 IPS 的五级流水线实现 流水线的 Hazard( 冲突 / 依赖 / 相关 ) 及其处理 结构冲突 : 哈佛结构 数据依赖 编译技术 : 插入 nop, 指令重排,

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

标题

标题 向 柳 传 志 学 什 么 浙 江 人 民 出 版 社 Xiang LiuChuanZhi XueShenMe 代 序 柳 传 志 现 任 联 想 控 股 有 限 公 司 董 事 长 兼 总 裁 执 行 委 员 会 主 席, 联 想 集 团 董 事 局 主 席, 联 想 投 资 融 科 智 地 弘 毅 投 资 董 事 长 作 为 联 想 创 始 人 之 一, 他 建 立 的 联 想 集 团 如 今

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

计算机体系结构

计算机体系结构 6.823 2002 180 22 6 : 6 Part A: ( 1 4) 20 Part B: ( 5 7) 16 Part C: ( 8 12) 24 Part D: ( 13 20) 24 Part E: ( 21 24) 31 Part F: ( 25 27) 16 Part G: ( 28 30) 12 Part H: ( 31 37) 31 : 180 Part A: 20 DLX 14

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

计组复习提纲

计组复习提纲 101-104 著名计算机 远古 :ABC, ENIAC, EDVAC, EDSAC, UNIVAC 大型 :IBM S/360 超级 :CDC6600 小型 :PDP-8 微型 :Altair 8800, Apple II, IBM PC 5150 三个约翰 : 冯诺依曼, 莫克利, 埃克特 关于 EDVAC 的报告草案 开关连线 存储程序 十进制 二进制 CA CC M I O 冯诺依曼结构的要点

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

Microsoft PowerPoint - chx09_org04_isa.ppt

Microsoft PowerPoint - chx09_org04_isa.ppt 计算机组织与系统结构 指令系统设计 Instruction Set Design ( 第四讲 ) 程旭 2009.3.5 指令系统设计 软件 指令系统 硬件 指令系统体系结构 (Instruction Set Architecture) ADD SUBTRACT AND OR COMPARE... 从程序员来观察 01010 01110 10011 10001 11010... 从计算机来观察 CPU

More information

C/C++ - 字符输入输出和字符确认

C/C++ - 字符输入输出和字符确认 C/C++ Table of contents 1. 2. getchar() putchar() 3. (Buffer) 4. 5. 6. 7. 8. 1 2 3 1 // pseudo code 2 read a character 3 while there is more input 4 increment character count 5 if a line has been read,

More information

L15 MIPS Assembly

L15 MIPS Assembly Lecture 19: MIPS Assembly Language 程序的机器级表示主要内容 MIPS 指令格式 R- 类型 / I- 类型 / J- 类型 MIPS 寄存器 长度 / 个数 / 功能分配 MIPS 操作数 寄存器操作数 / 存储器操作数 / 立即数 / 文本 / 位 MIPS 指令寻址方式 立即数寻址 / 寄存器寻址 / 相对寻址 / 伪直接寻址 / 偏移寻址 MIPS 指令类型

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

Microsoft Word - administrative-law-08.doc

Microsoft Word - administrative-law-08.doc 行 政 法 第 八 講 : 公 務 員 綱 要 一 公 務 員 之 概 念 ( 一 ) 學 理 上 之 概 念 ( 二 ) 法 律 上 之 概 念 二 公 務 員 關 係 之 特 質 : 特 別 權 力 關 係 ( 一 ) 起 源 ( 二 ) 定 義 ( 三 ) 現 代 定 義 ( 四 ) 加 入 之 原 因 ( 五 ) 種 類 ( 六 ) 特 色 ( 七 ) 理 論 演 變 ( 八 ) 存 廢 問

More information

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++;

int *p int a 0x00C7 0x00C7 0x00C int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; Memory & Pointer trio@seu.edu.cn 2.1 2.1.1 1 int *p int a 0x00C7 0x00C7 0x00C7 2.1.2 2 int I[2], *pi = &I[0]; pi++; char C[2], *pc = &C[0]; pc++; float F[2], *pf = &F[0]; pf++; 2.1.3 1. 2. 3. 3 int A,

More information

候非常煩惱 因為我很喜歡作文 我同學看我悶悶不樂問我為甚 麼 我說我道聽途說背古文可以寫好作文 於是我每次註冊就把整 本國文課本背下來 可是完全沒有長進 他看我這樣就說 那你 多讀一點課外書嘛 我就問他課外書是甚麼 他覺得我在開玩 笑 不理我 我就使用那種 我是嚴肅的 的眼神再問他一次 他 就意味深

候非常煩惱 因為我很喜歡作文 我同學看我悶悶不樂問我為甚 麼 我說我道聽途說背古文可以寫好作文 於是我每次註冊就把整 本國文課本背下來 可是完全沒有長進 他看我這樣就說 那你 多讀一點課外書嘛 我就問他課外書是甚麼 他覺得我在開玩 笑 不理我 我就使用那種 我是嚴肅的 的眼神再問他一次 他 就意味深 徐嘉希同學 董玉娣中學 陳哲毅同學 方文傑同學 佛教善德英文中學 記錄 蔡一品同學 張恆學同學 高曉坤同學 香港城市大學 主持 各位來賓 歡迎來到我們今年的城市文學節的活動 我 們可以看到這個活動的受眾非常地年輕 因為今天我們是和香港教 育局合辦的作家交流會 今天的主題是 閱讀與成長 首先邀請 香港城市大學中國文化中心主任鄭培凱教授為我們致詞 鄭培凱 各位老師 各位同學 我代表香港城市大學歡迎大家

More information

C/C++ - 文件IO

C/C++ - 文件IO C/C++ IO Table of contents 1. 2. 3. 4. 1 C ASCII ASCII ASCII 2 10000 00100111 00010000 31H, 30H, 30H, 30H, 30H 1, 0, 0, 0, 0 ASCII 3 4 5 UNIX ANSI C 5 FILE FILE 6 stdio.h typedef struct { int level ;

More information

第 42 卷 第 5 期 2012 年 9 月 浙 江 大 学 学 报 人文社会科学版 Journal of Zhejiang University Humanities and Social Sciences V ol 42 N o 5 Sept 2012 DOI 10 3785 j issn 1008 942X 2012 04 052 亚当 斯密和文明社会的四个隐喻 张国清 张翼飞 浙江大学 公共管理学院

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

单周期数据通路

单周期数据通路 计算机组织与系统结构 设计单周期数据通路的处理器 Designing a Single Cycle Datapath Microprocessor 第四讲 程旭 27..2 2 Processor Processor Control Enable? Read/Write Memory Datapath PC Registers Arithmetic & Logic Unit (ALU) Address

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074>

<4D F736F F F696E74202D20B5DAC6DFD5C220D6B8C1EEC1F7CBAECFDF2E707074> Ch7: nucion Piplin 指令流水线第一讲流水线数据通路和控制逻辑第二讲流水线冒险处理第三讲高级流水线技术 Piplin.2 第一讲流水线数据通路和控制 主要内容 日常生活中的流水线处理例子 : 洗衣服 单周期处理器模型和流水线性能比较 什么样的指令集适合于流水线方式执行 如何设计流水线数据通路 以 MPS 指令子集来说明 详细设计取指令部件 详细设计执行部件 分析每条指令在流水线中的执行过程,

More information

Chapter 6

Chapter 6 Chapter 4 (Part II) The Processor: Datapath and Control (Enhancing Performance with Pipelining) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB

More information

蔡 摇 凯, 等 援 化 疗 增 强 肿 瘤 免 疫 原 性 的 研 究 进 展 猿 员 员 蛋 白 ( 糟 葬 造 则 藻 贼 蚤 糟 怎 造 蚤 灶 ) 等, 或 者 使 一 些 肿 瘤 细 胞 低 表 达 的 膜 蛋 白 ( 肿 瘤 免 疫 逃 逸 的 机 制 之 一 ) 表 达 增 加, 如

蔡 摇 凯, 等 援 化 疗 增 强 肿 瘤 免 疫 原 性 的 研 究 进 展 猿 员 员 蛋 白 ( 糟 葬 造 则 藻 贼 蚤 糟 怎 造 蚤 灶 ) 等, 或 者 使 一 些 肿 瘤 细 胞 低 表 达 的 膜 蛋 白 ( 肿 瘤 免 疫 逃 逸 的 机 制 之 一 ) 表 达 增 加, 如 猿 员 园 中 国 肿 瘤 生 物 治 疗 杂 志 摇 澡 贼 贼 责 : 辕 辕 憎 憎 憎 援 遭 蚤 燥 贼 澡 藻 则 援 燥 则 早 悦 澡 蚤 灶 允 悦 葬 灶 糟 藻 则 月 蚤 燥 贼 澡 藻 则, 允 怎 灶 援 圆 园 园 怨, 灾 燥 造 援 员 远, 晕 燥 援 猿 阅 韵 陨 : 员 园 援 猿 愿 苑 圆 辕 躁 援 蚤 泽 泽 灶 援 员 园 园 苑 鄄 猿 愿 缘

More information

BPR JIT

BPR JIT 1. ERP POS. 1) POS 2) POS 3) 1 4) 5) 6) 7) 8) 9) 10) 11) 12) 13) 14 POS 1.3 2. 2001 5 2001 5 30 2001 6 20 2001 7 20 2001 7 25 2001 8 25 21 2001 9 25 2001 10 25 2001 11 1 2002 7 3. 1 BPR 2 3 4 5 JIT 4.

More information

寻医问药指南(十七)

寻医问药指南(十七) ... 1... 1... 49... 120... 131... 131... 146... 171... 180... 181... 181 I 518105 0755-7713177 0755-2425239 0755-2263882 1 0755-2409255 518102 0755-7799045 518002 0755-2220607 2 518101 0755-7788068 518101

More information

Microsoft Word - 第2章 ARM体系结构.doc

Microsoft Word - 第2章 ARM体系结构.doc ARM 系列处理器应用技术完全手册 作者 : 华清远见 第 2 章 ARM 体系结构 2.1 ARM 体系结构的特点 ARM 内核采用精简指令集结构 (RISC,Reduced Instruction Set Computer) 体系结构 RISC 技术产生于上世纪 70 年代 其目标是设计出一套能在高时钟频率下单周期执行 简单而有效的指令集,RISC 的设计重点在于降低硬件执行指令的复杂度, 这是因为软件比硬件容易提供更大的灵活性和更高的智能

More information

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5]

92 (When) (Where) (What) (Productivity) (Efficiency) () (2) (3) (4) (5) (6) (7) em-plant( SiMPLE++) Scheduling When Where Productivity Efficiency [5] DYNAMIC SCHEDULING IN TWO-MACHINE FLOW-SHOP WITH RECIRCULATION em-plant( SiMPLE++) Jen-Shiang Chen, Jar-Her Kao, Chun-Chieh Chen, Po-Cheng Liu, and Wen-Pin Lin Department of Industrial Engineering and

More information

ebook 132-6

ebook 132-6 6 SQL Server Windows NT Windows 2000 6.1 Enterprise Manager SQL Server Enterprise Manager( ) (Microsoft Management C o n s o l e M M C ) Enterprise Manager SQL Server Enterprise Manager 6.1.1 Enterprise

More information

PowerPoint 簡報

PowerPoint 簡報 國 家 賠 償 法 概 述 主 講 人 : 宋 恭 良 104.10.12 2015.10.30 1 Q. 老 師 是 否 是 公 務 員? 是 否 適 用 國 賠? 法 務 部 95 年 9 月 14 日 法 律 字 第 0170449 號 函 : 國 家 賠 償 法 第 2 條 第 1 項 規 定 本 法 所 稱 公 務 員 者, 謂 依 法 令 從 事 於 公 務 之 員, 係 採 最 廣 義

More information

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63>

<4D6963726F736F667420576F7264202D20AC4FBDBDA4FBB67DA96CAABA2DA743A67EAFC5AAA95FA7B9BD5A5F2E646F63> ( 閱 讀 前 ) 練 習 一 動 動 腦, 猜 一 猜 小 朋 友, 現 在 我 們 要 一 起 來 閱 讀 一 本 很 有 趣 的 書, 書 名 是 是 蝸 牛 開 始 的!, 請 動 動 你 的 腦 袋, 想 像 自 己 是 作 者, 猜 猜 這 本 書 在 說 什 麼 樣 的 故 事 呢? 我 覺 得 這 個 故 事 可 能 的 角 色 有 我 覺 得 這 個 故 事 可 能 發 生 的 地

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 年 路 年 1 [1-3][4] [5-7] [15] Visual Basic [10] 401 RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 1 1 X0 X1 X2 X3 SENSOR Y0 SENSOR VB X3 Y0 Y1 Y2 Y3 Y4 Y5 Y1~Y5 Y6 VB Y7 VB Y11 Y12 Y13 Y14 Y15 Y11~Y15

More information

Risc-v 开发 Risc-v 基础知识 北京飞利信科技股份有限公司 2018 年 5 月

Risc-v 开发 Risc-v 基础知识 北京飞利信科技股份有限公司 2018 年 5 月 Risc-v 开发 Risc-v 基础知识 北京飞利信科技股份有限公司 2018 年 5 月 RISC-V 动态跟踪及历史发展情况 1 Risc-v 产生背景 Risc-v 是加州大学伯克利分校 (UC Berkeley) 设计并发布的一种开源指令集架构, 其目标是成为指令集架构领域的 Linux, 应用覆盖 IOT(Internet of Things) 设备 桌面计算机 高性能计算机等众多领域

More information

计算机组成与系统结构

计算机组成与系统结构 第5章 中央处理器 CPU 计算机的工作过程就是计算机执行程序的过程 程序是一个指令序列 这个序列明确告诉计算机应该 执行什么操作 在什么地方能够找到用来操作的数据 一旦把程序装入主存储器 计算机就可以自动执行取出指令和执行指令的任务 专门用来完成此项工 作的计算机部件称为中央处理器 Central Processing Unit CPU 做成单片集成电路的 CPU 通常又称 为微处理器 Microprocessor

More information

《嵌入式系统设计》教学大纲

《嵌入式系统设计》教学大纲 多 核 架 构 及 编 程 技 术 教 学 大 纲 课 程 代 码 :20062022071 课 程 负 责 人 : 杨 剑 锋 课 程 中 文 名 称 : 多 核 架 构 及 编 程 技 术 课 程 英 文 名 称 :Multi-Core Architecture and Programming Technologies 课 程 类 别 : 专 业 必 修 课 程 学 分 数 :3 课 程 学 时

More information

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境

至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 至 尊 法 王 蒋 阳 龙 朵 加 参 尊 者 上 师 瑜 伽 皈 依 境 大 恩 上 师 慈 成 加 参 仁 波 切 目 录 修 法 仪 轨 3 16 24 课 前 念 诵 正 修 上 师 瑜 伽 念 诵 课 后 回 向 为 何 修 持 35 36 38 39 42 上 师 的 含 义 上 师 对 寻 求 解 脱 者 的 重 要 性 谨 慎 选 择 上 师 具 德 上 师 应 具 备 的 条 件

More information

《佛子行三十七颂》讲记1

《佛子行三十七颂》讲记1 佛 子 行 三 十 七 颂 讲 记 1 达 真 堪 布 光 明 大 圆 满 法 坛 城 为 修 持 成 佛 要 发 殊 胜 菩 提 心! 为 度 化 一 切 父 母 众 生 要 发 誓 修 持 成 佛! 为 早 日 圆 成 佛 道 要 精 进 认 真 闻 思 修 行! 今 天 在 这 里 给 大 家 简 单 地 开 示 一 下 佛 子 行 三 十 七 颂 佛 子 行 三 十 七 颂 是 土 美 仁

More information

(给多有拉姆)佛子行三十七颂1——7

(给多有拉姆)佛子行三十七颂1——7 胜 利 道 歌 天 鼓 妙 音 法 王 如 意 宝 晋 美 彭 措 造 颂 怙 主 诸 佛 智 慧 身, 文 殊 师 利 童 子 尊, 恒 住 八 瓣 莲 蕊 心, 所 言 愿 利 诸 有 情 甚 深 光 明 大 圆 满, 仅 闻 词 句 断 有 根, 六 月 修 要 得 解 脱, 唯 此 铭 刻 于 心 中 遇 此 胜 法 善 缘 众, 前 世 累 劫 积 资 果, 与 普 贤 王 同 缘 分,

More information

Chapter 3

Chapter 3 Chapter 3 Arithmetic for Computers 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. C.T. King, NTHU, Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 3.2 Addition & Subtraction p.67 ( 頁 69)

More information

<4D F736F F D20AD70BAE2BEF7B2D5C2B4BB50B5B2BA632D3936B8D5C344B8D4B8D12DB2C4A440A6B8B0C9BB7EB8EAAEC62D2D2D312E646F63>

<4D F736F F D20AD70BAE2BEF7B2D5C2B4BB50B5B2BA632D3936B8D5C344B8D4B8D12DB2C4A440A6B8B0C9BB7EB8EAAEC62D2D2D312E646F63> 計算機組織與結構 96 年試題詳解 - 勘誤表 [ 一 ] 96-6 頁, 第 5 題解答修正為 : (C) 汪兆慶老師提供 96-6 頁, 第 7 題解答修正為 : 7. (B) 執行指令數 = 1+(1+1+1+1) 20+1+1+1 = 84 執行週期數 = 1+(1 2+1+1+1 2) 20+1 2+1+1 = 125 CPI = 125/84 MIPS = [2GHz/(125/84)]/10

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

1206一中簡訊51期.indd

1206一中簡訊51期.indd 第 五 十 一 期 3 100 2011 1002011 10072328 23 The 23rd International Olympiad in Informatics83302 25 100 100 92 2011 IT 100 509.8 1119201213 43 309 382637 2011 317 305301319 51 5 319 205216 51 2n 319 319 205

More information

Microsoft Word - 2.doc

Microsoft Word - 2.doc 证 券 代 码 :000637 证 券 简 称 : 茂 化 实 华 公 告 编 号 :2009-026 茂 名 石 化 实 华 股 份 有 限 公 司 收 购 资 产 暨 关 联 交 易 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 一 交 易 概 述 ( 一 ) 收

More information

多 种 途 径, 让 学 生 通 过 实 践 性 教 学, 事 半 功 倍 地 接 受 理 解 老 师 讲 授 的 知 识, 教 学 过 程 跟 踪 国 外 金 融 市 场 动 态 与 国 内 外 著 名 专 家 学 者 的 交 流 与 区 域 金 融 机 构 的 交 流, 形 成 探 究 式 教

多 种 途 径, 让 学 生 通 过 实 践 性 教 学, 事 半 功 倍 地 接 受 理 解 老 师 讲 授 的 知 识, 教 学 过 程 跟 踪 国 外 金 融 市 场 动 态 与 国 内 外 著 名 专 家 学 者 的 交 流 与 区 域 金 融 机 构 的 交 流, 形 成 探 究 式 教 课 程 导 论 一 课 程 概 述 ( 一 ) 课 程 的 性 质 与 定 位 本 课 程 是 投 资 与 理 财 专 业 职 业 核 心 能 力 必 修 课 程, 也 是 考 取 从 业 资 格, 经 纪 人 资 格 理 财 规 划 师 等 职 业 资 格 书 课 程 开 设 本 课 程 是 为 了 培 养 适 应 社 会 主 义 市 场 经 济 要 求 的 全 面 了 解 投 资 及 市 场 的

More information

标题

标题 2011 年 长 三 角 地 区 民 营 经 济 发 展 报 告. 14 2011 年 长 三 角 地 区 民 营 经 济 发 展 报 告 2011 年 是 十 二 五 开 局 之 年, 国 际 环 境 复 杂 多 变, 国 内 经 济 运 行 出 现 了 一 些 新 情 况 和 新 问 题, 面 对 严 峻 挑 战, 长 三 角 广 大 民 营 企 业 坚 持 稳 中 求 进 的 发 展 主 线,

More information

Microsoft PowerPoint - 《??省企?集体合同?例》解?(?莞).ppt [Compatibility Mode]

Microsoft PowerPoint - 《??省企?集体合同?例》解?(?莞).ppt [Compatibility Mode] 广 东 省 企 业 集 体 合 同 条 例 解 读 由 : 广 东 省 人 力 资 源 和 社 会 保 障 厅 劳 动 关 系 处 提 供 第 一 部 分 立 法 修 订 背 景 一 必 要 性 ( 一 ) 修 订 条 例 是 贯 彻 党 十 八 大 及 十 八 届 三 中 全 会 精 神 的 需 要 1 十 八 大 报 告 明 确 提 出 推 行 企 业 工 资 集 体 协 商 制 度, 保 护

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

101

101 Lecture 04 Modeling, Anlysis nd Simultion in Logic Design 逻辑设计中的建模 分析与仿真 Dr. Engineering Design Process 工程设计过程 定义问题研究勾画可能的解答 Identify nd define prolem reserch sketch possile solutions 建模 Modeling 分析 Anlysis

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information