Hercule Safety MCU 1 Day Safety Seminar

Size: px
Start display at page:

Download "Hercule Safety MCU 1 Day Safety Seminar"

Transcription

1

2 德州仪器 (TI) Hercules 安全研讨会 Hercules TM 培训 培训课程 : Hercules 安全研讨会 开班仪式 最新 Hercules/SafeTI 介绍 什么是功能性安全? 安全标准概述 IEC 安全标准 ISO 安全标准 随机失效管理 安全系统架构 Hercules 安全概念 实验 1:Hercules 安全微控制器 (MCU) 演示 Hercules 架构 开发工具 : 硬件 (HW) 套件, 软件 (SW) 工具 嵌入式闪存存储器工具 实时中断 (RTI) 矢量中断管理器 (VIM) 直接内存访问 (DMA) 通用输入输出接口 (GIO) & 高端定时器 (NHET) 实验 2: 将 NHET 用作 GIO 通信接口 : 异步收发器 (UART), 本地互连网络接口 (LIN), 控制器局域网 (CAN),FlexRay, 多缓冲串行外设接口 (MibSPI) 实验 3:PC 与串行通信接口 (SCI) 通信 外部存储器接口 (EMIF) / 参数覆盖 多缓冲模数转换器 (MibADC) 支持结构 : 网络 论坛 维基网站 (WIKI) 实验 4: 安全电机控制演示 Hercules controlcard 概述 浏览 Hercules MotorWare DSP 库介绍 电机控制的安全方面 谁应参加此课程 : 硬件和软件开发人员 项目经理 安全专家 任何一位对 Hercules MCU 和功能安全感兴趣的人员 1

3 Hercules 安全 MCU: 介绍 3 TI 嵌入式处理产品系列 微控制器 应用处理器 / 数字信号处理器 (DSP) 16 位 32 位实时 32 位 M3/M4F ARM 32 位 R4F ARM 32 位 ARM+ 32 位 ARM+DSP DSP ARM 内核提供以下器件 MSP430 超低功耗 高达 25 MHz 闪存 1 KB 至 256 KB 模拟 I/O,,ADC LCD,USB, 射频 (RF) 测量 感测 通用 C2000 定点 & 浮点 高达 300 MHz 闪存 32 KB 至 512 KB PWM,ADC, CAN,SPI,I 2 C 电机控制 数字电源 照明 Stellaris-M3 工业标准低功耗 <100 MHz 闪存高达 512 KB USB,ENET, ADC,PWM,CAN 主机控制 Hercules TMS570/RM4 浮点 超过 350 DMIPS 闪存高达 3 MB 定时器协处理器 ENET,ADC, CAN 安全运输, 工业 & 医疗 ARM9 ARM Cortex-A8 工业标准内核, 高性能 GPP 加速器 MMU USB,LCD, MMC, EMAC Linux/WinCE 用户应用程序 ARM9/Cortex-A8 加上 C64x+ 工业标准内核 + 用于信号处理的 DSP 4800 MMACs/ 1.07 DMIPS/MHz MMU, 高速缓存 VPSS,USB, EMAC,MMC Lin/Win O/S + 视频 图像 多媒体 C55x, C64x+ C647x 领先的 DSP 性能 24,000 MMACS 高达 3 MB L2 高速缓存 1G EMAC,SRIO, DDR2,PCI-66 通信,WiMAX, 工业用 / 医疗成像 11/13/2012 软件 工具和开发板 4 2

4 TI Hercules TM MCU 平台基于 ARM Cortex 的微控制器 Hercules 平台 RM4x 高性能工业和医疗用安全 MCU 工业应用 医疗应用 -40 至 105 C 的运行温度范围 以太网,USB,CAN & UART 针对以下安全标准开发 IEC SIL-3 Cortex-R 超过 350 DMIPs TMS570 高性能交通运输和安全 MCU 交通运输应用 符合汽车级 Q100 规范 -40 至 125 C 运行温度范围 FlexRay, 以太网, CAN, LIN/UART 针对以下安全标准开发 ISO ASIL-D IEC SIL-3 Cortex-R 超过 280 DMIPs TMS470M 超值线运输和安全 MCUs 交通运输应用 符合汽车级 Q100 规范 -40 至 125 C 运行温度范围 CAN, LIN/UART 连通性 支持针对以下系统的安全性 IEC 系统 Cortex-M 达到 100 DMIPS 5 Hercules ARM Cortex 安全 MCU 应用 航空航天 & 铁路应用 工业应用 航空电子设备 / 自动驾驶 汽车应用 防滑控制 电机控制 通信网关 工业电机控制 风能 制造业 / 机器人 工业自动化 / PLC 刹车 / 稳定性控制 气囊 传感器 & 通信网关 太阳能 混合动力 & 电动车 雷达 / 碰撞避免 (ADAS) 制氧机 麻醉机 主动悬挂 电子助力转向 底盘 / 域控制 呼吸器 医疗应用 6 3

5 汽车 & 运输 工业用 & 医疗用 Hercules 安全 MCU 产品路线图 TMS570LS202S 2*R4F LS 2MB, 160kB 160MHz TMS570LS102S 1MB, 160kB RM48L9x 2*R4F LS 3MB, 256kB 220MHz 量产 4Q 2012 RM48L5x 2MB, 192kB TMS570LS31x 2*R4F LS 3MB, 256kB 180MHz 量产 4Q 2012 TMS570LS21x 2MB, 192kB 以太网 以太网 量产 3Q 2013 RM46x 2*R4F LS 1.25MB, 192kB 220MHz RM42x 2*R4 LS 384kB, 32kB 100MHz Production 3Q 2013 量产 3Q 2013 TMS570LS12x 2*R4F LS 1.25MB, 192kB 180MHz 以太网 TMS570LS11x 1MB, 128kB 量产 3Q 2013 QEP QEP / PWM 以太网 QEP / PWM CAN 全新! 全新! 安全电机控制工业自动化安全连通性医疗应用 稳定性控制 助力转向 HEV/EV TMS470MF066S ARM Cortex -M3 640kB, 48kB 80MHz TMS470MF042S 448kB, 24kB TMS470MF031S 320kB, 16kB 量产 3Q 2013 TMS570LS04x 2*R4 LS 384KB, 32KB 80MHz TMS570LS03x 256KB, 32kB 量产 3Q 2013 QEP CAN 全新! ABS 电子助力转向被动安全 CAN 通信 量产 可申请样片 开发 量产 / 样片时间 锁步 CPU SIL ASIL-D 7 RM4x 系列 对比表 系列 RM48x RM46x RM42x FPU Cortex-R4 FPU Cortex-R4 Cortex-R4 CPU Cortex-R4 w/ w/ FPU Cortex-R4 w/ w/ FPU CPU 最高频率 220MHz 220MHz 220MHz 220MHz 100MHz 闪存 3MB / 2MB 3MB / 2MB 1.25MB / 1MB 1.25MB / 1MB 384KB / 256KB RAM 最高 256KB 最高 256KB 最高 192kB 最高 192kB 24KB 数据闪存 ((EE 模拟 ) 64kB 64kB 64kB 64kB 16kB DMA 16 通道 16 通道 16 通道 16 通道无 NHET( 通道数 ) 2 (44) 2 (40) 2 (44) 2 (40) 1 (19) ecap/epwm/eqep 无 无 6 通道 /14 通道 /2 模块 6 通道 /14 通道 /2 模块 1 QEP MibADC 12 位 ( 通道数 ) 2(24 通道 ) 2(24 通道 ) 2(24 通道 ) 2(24 通道 ) 1(16 通道 ) 以太网 可选 可选 可选 可选 无 USB 主机 / 器件 可选 可选 可选 可选 无 CAN (mbox) 3( 每个 64mb) 3( 每个 64mb) 3( 每个 64mb) 3( 每个 64mb) 2 (32mb & 16mb) MibSPI1 ( 已缓冲 ) 标准 SPI I2C None UART GIO EMIF 有 无 有 无 无 ETM/RTP/DMM 有 无 无 无 无 温度范围 -40c 至 105c -40c 至 105c -40c 至 105c -40c 至 105c -40c 至 105c 封装 337BGA 144QFP 337BGA 144QPF 100QFP 请注意 : 上面的表格显示了每个模块的最大配置 - 某些功能是复用的 TI 信息 - 有选择性公开 4

6 TMS570LS 系列 对比表 系列 TMS570LS31x/21x TMS570LS12x/11x TMS570LS04x/03x Cortex-R4 w/ FPU Cortex-R4 Cortex-R4 w/ FPU Cortex-R4 Cortex-R4 CPU w/ FPU w/ FPU CPU 最大频率 180MHz 160MHz 160MHz 160MHz 80MHz 闪存 3MB / 2MB 3MB / 2MB 1.25MB / 1MB 1.25MB / 1MB 384KB / 256KB RAM 最高 256KB 最高 256KB 最高 192kB 最高 192kB 24KB 数据闪存 (EE 模拟 ) 64kB 64kB 64kB 64kB 16kB DMA 16 通道 16 通道 16 通道 16 通道无 NHET( 通道数 ) 2 (44) 2 (40) 2 (44) 2 (40) 1 (19) ecap/epwm/eqep 无 无 6 通道 /14 通道 /2 模块 6 通道 /14 通道 /2 模块 1 QEP MibADC 12 位 ( 通道数 ) 2(24 通道 ) 2(24 通道 ) 2(24 通道 ) 2(24 通道 ) 1(16 通道 ) 以太网 可选 可选 可选 可选 无 FlexRay 可选 可选 可选 可选 无 CAN (mbox) 3( 每个 64mb) 3( 每个 64mb) 3( 每个 64mb) 3( 每个 64mb) 2 (32mb & 16mb) MibSPI1( 已缓冲 ) 标准 SPI I2C None LIN / SCI 1 / 1 1 / 1 1 / 1 1 / 1 1 / 0 GIO (w/ Flexray) (4) (4) 8 EMIF 有 无 有 无 无 ETM/RTP/DMM 有 无 无 无 无 温度范围 -40c 至 125c -40c 至 125c -40c 至 125c -40c 至 125c -40c 至 125c Package 337BGA 144QFP 337BGA 144QPF 100QFP 请注意 : 上面的表格显示了每个模块的最大配置 - 某些功能是复用的 TI 信息 - 有选择性公开 使用 SafeTI 设计包加速功能安全认证 特定 SafeTI 一揽子解决方案 嵌入式处理器 安全通信 模拟 SafeTI 工业 医疗 铁路和其它应用 系统故障插入和 FMEDA SafeTI 汽车类应用 SafeTI 软件库和工具 SafeTI 文档 SafeTI 家用电器 SafeTI 安全案例 SafeTI - QM 质量管理 质量制造 安全性开发 See 5

7 什么是功能性安全 & 安全性标准概述 11 世界需要变得更加安全 电子器件正被越来越多的应用于安全关键类应用中 Several factors drive the need for functional safety 系统中不断增加的电子元器件的数量 增加的电子硬件和软件复杂度 更多的系统将安全功能包括在内 不断增加的政府安全规定 过去十年中在铁路 能源 航空航天和汽车应用中被曝光的安全故障 6

8 国际安全标准 电子元器件正在被越来越多的应用于很多安全应用中 EN ( 铁路 ) DO-254 DO-178B ( 航空航天 ) IEC ( 医疗设备 ) IEC ( 过程工业 ) TM Hercules MCU IEC ( 锅炉 ) IEC ( 核电站 ) IEC ISO ( 机械 ) IEC ( 安全性 ) ISO ( 汽车 ) IEC 是被用作特定安全标准的基准, 如果某些领域不存在针对性的安全标准, 它也可直接使用 IEC61508 Hercules TMS570LS20216 器件已经由 exida 认证用于 IEC SIL-3 系统 13 什么是功能性安全? 基本功能性安全概念 : 所有系统都将有一些固有的 可量化的故障率 开发一个零故障率的系统是不可能的 对于每个应用, 有一些可容忍的不会导致不可接受风险的故障率 每个应用所接受的故障率是不同的, 其基于系统发生故障时, 直接或间接的造成人身伤害的可能性 可将相同级别的风险进行量化分类 这些被称为安全完整性级别, Safety Integrity Levels 或者简称为 SIL DO-254 设计保证级别 A B C D E IEC SIL 级别 ISO ASIL 级别 QM 质量管理 A B C D 14 7

9 什么是功能性安全? 一个功能安全电子系统是能够对每一组输入做出预期响应的系统 功能性安全要求系统在运行状态时, 必须能实时应用质量 可靠性和产品测试技术以确保产品对于提供的输入做出正确的响应 源自 IEC 标准的经典功能性安全案例 : 一个能检测过温故障并且在故障之前将电机断电的实时诊断是功能性安全的一个范例 一个可耐过温条件而又不会发生故障的特别设计的电机不是功能性安全的一个范例 功能性安全定义 IEC 定义 : 安全是避免系统遭受对人身财产安全造成直接或间接的损害或者对环境造成不良影响等不可接受的风险 功能性安全是总体安全性的一部分, 取决于对系统或装置对输入正确的响应 ISO 定义 : 避免由于电子或电子系统失效行为造成不可接受的风险 16 8

10 其他安全概念 & 定义 错误 : 一个系统中有可能导致失效的运行事件 故障 : 错误导致系统无法执行安全性功能 容错 : 错误发生后可继续安全运行的能力 故障安全系统 : 在一个有可能导致故障的错误被检测到的系统中, 此系统被置于一个安全状态, 这样错误就不会传播到其它系统 故障可用 / 可操作系统 : 在一个有可能导致故障的错误被检测到的系统中, 此系统可在不丢失安全性功能的情况下继续运行 可靠性 系统无故障运行的性能 ( 通常与对于一个安全功能的考虑无关 ) 可用性 安全性功能可用的时间除以总体系统运行时间 具有高可靠性和故障可操作系统往往比故障安全系统具有更高的可用性 安全 检测 抑制或防止损害产品功能性的能力 17 系统失效和随机失效 功能性安全系统中的失效可大体被分成两类 : 系统失效和随机失效 失效 系统的 系统失效 由设计 制造或使用中的可重复事件造成 往往是不遵照最佳做法的结果 可使用传统的质量和可靠性方法来管理和减少系统故障 随机的 随机失效 由不可预见性的原因导致 随机失效的几率不能被降低 ; 应用中重点应放在随机失效的检测及处理上 9

11 功能性安全与质量 / 可靠性之间的关系 高质量和可靠性并不确保安全性 确保质量和可靠性的方法与管理系统安全故障的方法很大部分相同 管理随机硬件失效的要求通常与质量 可靠性要求不同 当面对一个可能因过热故障引起线路融化从而导致系统故障的系统时 : 一个可靠性工程师将此系统设计成具有耐高温的系统来解决这个问题 一个功能安全工程师把这个系统设计成能检测过温并在线路融化前将系统置于一个安全状态来解决这个问题 19 功能性安全与安全之间的关系 一个安全系统能够检测 抑制或防止来自外部环境的损害 一个功能安全系统能够检测故障并防止对外部环境的损害 安全系统 : 避免外部环境对系统的操作 功能安全系统 : 不会产生对人体健康 系统或环境有威胁的不可接受的风险 20 10

12 安全性目标 必须为一个安全系统定义安全性目标 例如 : 汽车安全气囊系统 : 事故发生时安全气囊必须展开 当没有事故发生时, 安全气囊一定不能被意外打开 安全目标被用来帮助确定系统中所需的功能安全级别 这些安全级别通常是指安全完整性级别或 SIL 不同的安全标准对 SIL 的命名和分类会不同 21 ISO 与 IEC 安全完整性级别对比 作为 IEC 的替代,ISO 是专门针对汽车应用 IEC 定义了 4 个安全完整性级别 (SIL-1,2,3,4) ISO26262 除了定义 4 个安全完整级别 (ASIL-A,B,C,D) 之外还定义了一个质量管理 IEC61508 SIL 和 ISO ASIL 级别之间没有直接关联 IEC SIL 级别 ISO ASIL 级别 QM Quality Managed A B C D 22 11

13 航空航天 DO-254 设计保障级别 DO-254 包含 5 个 设计保障级别 (DAL) DAL-A 是最严格的,DAL-E 是最宽松的 必须经 FAA 指定工程代表 (DER) 审核并批准 DO-254 设计保证级别 A B IEC SIL 级别 1 ISO ASIL 级别 QM 经质量管理 A C 2 B D 3 C E 4 D 23 ISO 标准 ISO 是针对乘用车应用的功能安全标准 相较于 IEC 61508,ISO26262 更适合于汽车应用开发 : 安全性必须贯穿整个开发流程并且在产品定义时即作出安全保证, 而不是在现有终端设备上来应用和验证 周期间的运行时间小于 1 小时, 而工业系统的此类时间为几天 / 几个月 / 几年 所有功能被认为具有 高需求 - 必须在车辆上电时可用 针对多点潜在故障的功能和诊断 12

14 ISO 标准介绍 标准的当前状态是什么? 在 2006 年时开始开发 章节 1-9 作为国际标准 (IS) 发布于 2011 年 11 月 章节 10 于 2012 年 3 月作为最终草案国际标准 (FDIS) 被发布 章节 10 IS 预计将于 2012 年年底发布 ISO 何时生效? 目前, 没有国家立法要求执行 ISO 在某些国家和地区,OEM 有责任参照最新的技术标准来进行开发 而 ISO 是目前最新的安全标准 到 2012 年底, 很多 OEM 要求新产品开发必须符合 ISO 对系统设计和组件选择的影响 必须对现有产品进行重新设计以符合 ISO 吗? 现有的已经验证过的量产产品可以继续使用 如果硬件 软件或系统设计发生任何变更, 升级后的产品必须按照 ISO 进行开发 所有组件必须按照 ISO 进行开发吗? 不是 简单组件 ( 电阻, 电容等 ) 可由一个资质审查过程进行检查 合格的组件仍必须在系统安全分析中进行考虑 由开发人员依据相应的失效模式来采用适当的诊断手段以实现目标 ASIL 等级 对于失效模式和架构没有特定要求 双核锁步 CPU 器件, 例如 TI 的 Hercules TMS570 MCU, 能够大大简化系统开发 缩短安全认证时间并帮助客户更快的将产品推向终端市场 13

15 产品评估 / 认证 要求评估? 是的 对于汽车应用, 必须是来自外部评估 对于系统组件级应用, 可以是内部或外部评估 对于内部评估, 开发和评估人员必须是独立的 ( 不同团队 不同管理 不同部门等 ) 谁能够评估并且 / 或者认证我的产品? 需要公认可信的评估人员提供认证服务 很多公司可提供这些服务, 例如 TÜV SÜD, TÜV NORD, 和 exida. 针对是否符合规范, 需要评估哪些内容? 清晰列出由标准定义的产品的详尽列表 出具的相关证明不会因内部或者外部评估而发生变化 IEC 失效率 失效率 λ λ S 安全失效率 对安全功能无影响 λ SD 检测到的安全失效率 λ SU 未检测到的安全失效率 λ D 危险失效率 对安全功能有影响 λ DD 检测到的危险失效率 λ DU 未检测到的危险失效率 λ = λ S + λ D = (λ SD + λ SU ) + (λ DD + λ DU ) FIT = 特定时间内的失效数 = 10 9 器件小时内 1 次失效 28 14

16 IEC 安全失效划分 & SIL 确定 安全失效划分 (SFF) = 1 λ DU λ 高需求系统 硬件错误容差 = 0 ( 单通道 ) 1 个错误有可能导致安全功能的损失 EX: 1oo1, 1oo1D, 2oo2 硬件错误容差 = 1( 冗余 ) 2 个或更多错误会导致安全功能的损失 2oo3, 4oo5 安全失效划分 ( 高需求系统 ) 硬件错误容差 HFT = 0 HFT = 1 0 < 60 - SIL1 60 < 90 SIL1 SIL2 90 < 99 SIL2 SIL3 99 SIL3 SIL4 29 ISO IEC 主要差异 30 15

17 ISO 与 IEC 的主要差异 与汽车工业使用实例和可接受风险的定义保持一致 IEC 安全功能的概念被安全目标所取代 安全功能基于对受控系统的定义并随后 采取 措施减少风险 安全目标要求在系统的研发初始阶段, 其控制系统设计就必须考虑降低风险 典型 IEC 系统可以在组装完成后才在现场验证 ISO 系统必须在上市前进行验证 ISO 针对产品的要求定义很清晰 这使得开发者更容易设计符合 ISO26262 标准的产品, 但同时也限制了系统定义开发的灵活性 ISO 具有适合于汽车安全实例的危险和风险分析 失效率 31 安全系统架构 32 16

18 安全系统架构 功能性安全的系统架构有很多种 下面会简要介绍最常见的架构 常用术语为 XooY Y 中 X 的数量 总共 Y 个组件中, 必须有 X 个组件发生失效才会导致整个系统失效 33 1oo1 系统架构 传感器 输入电路 逻辑处理电路 常见电路 输出电路 + 执行机构最终元件 - 一个子系统失效将导致整个系统失效 最基本的系统配置 无内部诊断 无错误冗余 34 17

19 1oo2 系统架构 输入电路 逻辑处理电路 输出电路 + 常见电路 传感器 输入电路 逻辑处理电路 输出电路 常见电路 执行机构最终元件 两个中的一个 子系统发生失效才会导致系统失效 两个具有独立 I/O 的控制器 每个控制器都必须控制输出 任何一个失效将导致系统失效 在安全气囊系统中, 通常由一个 32 位主 CPU 和一个 8 位 CPU 组成来控制爆管 35 2oo2 系统架构 输入电路 逻辑处理电路 输出电路 + 常见电路 传感器 输入电路 逻辑处理电路 输出电路 常见电路 执行机构最终元件 两个子系统都失效才会导致系统失效 每个子系统都可以控制输出 用于当系统是闭合安全情况 子系统开路有故障冗余 36 18

20 1oo1D 系统架构 + 诊断电路 传感器 输入电路 逻辑处理电路 常见电路 输出电路 执行机构最终元件 1oo1 系统的扩展版本, 带有诊断通道 诊断通道可在检测到故障时禁止系统输出 诊断电路可能会导致额外的失效概率 ( 通告故障 ) TI Hercules 双核锁步 处理器是一个 1oo1D 系统 37 2oo3 系统架构 输入电路 逻辑处理电路 输出电路 1 + 常见电路 输出电路 2 A A B 传感器 输入电路 逻辑处理电路 常见电路 输出电路 1 输出电路 2 B C C 决定电路 输入电路 逻辑处理电路 常见电路 输出电路 1 输出电路 2 执行机构最终元件 3 个子系统中必须有 2 个子系统发生失效才会导致系统失效 容错 开路故障时减为 1oo2 系统 短路故障时减为 2oo2 系统 38 19

21 使用 SafeTI 设计包加速功能安全认证 SafeTI 一揽子解决方案 嵌入式处理器 安全通信 模拟 SafeTI 工业 医疗 铁路和其它应用 系统故障注入和 FMEDA SafeTI 汽车类应用 SafeTI 软件库和工具 SafeTI 文档 SafeTI 家用电器 SafeTI 安全案例 SafeTI - QM 质量管理 质量制造 安全性开发 See 安全标准评估 所有 Hercules 产品将由独立的审核人员进行内部评估 选择的 Hercules TMS570 产品将由外部审核人员进行评估 对 Hercules MCU 的评估包括以下部分 : 开发过程 产品安全性架构 / 概念 基于开发过程和安全架构 / 概念的器件执行 针对 IEC 61508:2010 和 ISO 26262:2011,TI 已经选择与 TÜV-SÜD ( 经 DAkkS 授权 ) 和 Exida( 经 ANSI 授权 ) 合作 40 20

22 TMS570 安全文档 TI 在保密条款 (NDA) 下提供的相关文档有助于安全认证过程 : Hercules TMS570 安全使用手册 (SM) 详细产品安全架构和建议的使用方法 NDA Safety 分析报告摘要 (SAR1) 在器件级上执行的安全分析的摘要 NDA 详细安全分析报告 (SAR2) 模块级上执行的安全分析的细节 NDA 安全示例报告 满足特定功能性安全标准的一致性的摘要 41 Hercules Cortex -R4F 安全概念 42 21

23 Hercules 安全概念的合理性 安全岛 方法 所有安全功能共用的器件区域受到硬件诊断措施的重点保护 CPU CPU 中断 电源 复位和时钟的系统控制 OS 关键 IP:DMA,OS 定时器 一旦可确保一个已知安全区域, 这个区域的逻辑可被用于提供对于其它区域的诊断覆盖 这个分区已显示在大大减少安全对于系统物料清单 (BOM) 成本影响的同时提供强大的安全标准 43 Hercules 随机错误管理 TI 的方法是重点保护带有硬件诊断的关键逻辑区域 这种方法提供一个已知正常逻辑的 安全岛 随后, 此 安全岛 可通过一个硬件 软件和系统诊断的成本有效性组合来检测器件其余部分的故障 安全岛硬件诊断 ( 红 ) CPU 包含一个针对逐周期错误检测的锁步校验器 用于闪存和 SRAM 的 SECDED ECC 检测每一个内存访问时的错误 硬件 BIST 引擎可实现最终应用的工厂级测试 电源 时钟和复位有内部和外部硬件诊断接线 混合硬件诊断 ( 蓝 ) 对外设存储器的奇偶校验 模拟和数字 I/O 回路测试 ADC 自检和校准 双 ADC 上的共用通道 非安全关键功能 ( 黑 ) 开发和调试模块 锁步 CPU ARM Cortex - R4F CPU 故障检测 串行接口 内存 支持 ECC 的闪存 支持 ECC 的 RAM 支持 ECC 的闪存 EEPROM DMA 增强型系统总线和矢量中断模块 网络接口 校准 JTAG 调试 嵌入式跟踪 双 ADC 内核 电源 时钟和安全性 OSC PLL POR CRC 内存接口 外部内存 PBIST/LBIST ESM RTI/DWWD 双高端定时器 GIO 所有硬件诊断包括在校验器机制中启用延迟错误测试的机制 44 22

24 1oo1D 双核安全概念 错误比较 独特设计以减少常见故障 (βic) 第二个 CPU 被镜像和旋转 CPU 之间最小距离 100µm 周期延迟锁步 每个 CPU 的保护环 每个 CPU 复制的时钟树 输出 + 控制 周期延迟 CCM 自检 CPU 比较模块 (CCM) 自检功能 自检错误注入 / 强制错误 输出错误注入 ARM Cortex -R4F > 100um 周期延迟 输入 + 控制 45 1oo1D 双核锁步优势 锁步 CPU 相对于基于软件和多核的解决方案间的优势 更快速的错误检测 在使用紧密控制环时序的系统中启用安全性 更佳的错误覆盖 可检测确实的 瞬态的和 AC 错误类型 对性能影响很小 全部 CPU 性能用于应用任务而非 CPU 诊断 最小内才能影响 闪存和 SRAM 用于应用而非 CPU 诊断 简便集成 减少上市时间, 而无需集成复杂软件 已证明, 可轻松调整诊断覆盖 花费更少的时间, 这向审核人员证明您的 CPU 安全解决方案留出了更多的时间用于开发您的应用 46 23

25 CPU 自检控制器 (STC/LBIST) 时钟控制器 ROM ROM 接口 时钟控制 FSM ARM Cortex -R4F 测试控制器 PCR VBUSP 接口 STC STC 旁通 / ATE 接口 寄存器块 & 比较块 DBIST CNTRL DBIST CNTRL 错误 ESM 提供高诊断覆盖 大大降低了软件和运行时间开销 闪存内无软件 BIST( 内置自检 ) 代码开销 可通过寄存器简便配置和启动 BIST 47 可编程内存 BIST (PBIST) 可测试所有片载 RAM 简单寄存器设置和配置 通常在启动时运行, 但是可在应用期间执行 多个内存测试算法 VBUS 接口 测试器接口 ROM 接口 配置块 外部块 ROM 块 功能读取 / 写入数据路径 PBIST 控制器 RAM 数据路径 / Collars 去往 / 来自内存 (RAM 组 ) 检测多个故障模式 数据 Logger 提供一个机制以确定运行时间错误是否由硬件或软件错误误差引起 这个功能可被用来通过从软件误差中的联机恢复来改进可用性 48 24

26 硬件 BIST 优势 硬件 BIST 相对于软件测试的优势 更加快速的测试执行 要达到同等的测试覆盖, 软件测试要求 10 倍到 100 倍的运行时间 更佳的错误覆盖 比只支持软件的解决方案可有可能对多个错误模块进行寻址并实现更高的覆盖 最小内存影响 使您的闪存和 SRAM 用于应用而非内存和 CPU 测试 轻松集成 缩短上市时候而又无需集成复杂软件 已证明, 可轻松调整诊断覆盖 花更多的时间在应用上, 花费更少的时间 向审核人员证明您的 CPU 安全解决方案 49 闪存 / RAM ECC 保护 Cortex-R4F 64 条指令 8 级管道 64 数据 32 数据位 4 ECC 位 闪存 8 ECC 错误 ECC 逻辑 8 ECC RAM 32 数据位 4 ECC 位 在 Cortex R4F CPU 内进行评估的 ECC 单一位纠错和双位纠错 (SECDED) 与数据 / 指令处理并行进行评估的 ECC 通常不影响性能 保护 CPU 与闪存和 RAM 间的总线 50 25

27 错误信令模块 (ESM) ESM 针对组 1 的错误 INTEN INTLVL 低级中断处理 到中断管理器 高级中断处理 针对组 2 的错误 针对组 3 的错误 错误信号控制 低时间计数器预先载入 低时间计数器 nerror 引脚 51 时钟监视 外部时钟与分频器 (ECLK) 可实现 CPU 时钟频率的外部监视 配置引脚 (GIO 或 ECLK) 振荡器监视器 如果振荡器频率超过定义的最小 / 最大阀值则检测到故障 振荡器故障时的可选硬件相应 复位器件 切换到内部 低功耗振荡器 (LPO) 时钟源 FMPLL 跳周检测器 如果相位锁丢失则表示 PLL 跳周 PLL 跳周时可选的硬件相应 复位器件 切换到内部 低功耗振荡器 (LPO) 时钟源 切换到外部振荡器时钟源 LPO 来自振荡器的输入 FMPLL 到 CLK 控制模块的 CLK 信号 跳周时旁通 跳周检测器 BPOS BPOS 跳周时复位 ROS 到器件复位 PLLMUL 52 26

28 双时钟比较器 (DCC) 使用一个第二时钟信号作为基准,DCC 模块被用于测量一个时钟信号的频率 使得应用能够确保在两个时钟信号间保持一个固定频率 在基准时钟周期数量方面, 支持一个可编程容差窗口的定义 支持连续监视而无需应用介入 或者可被用在针对现场测量的单序模式中 导致几个特定使用实例的针对计数器 0 和计数器 1 的灵活时钟源选择 时钟 0 源 时钟 0 预载入 0 计数器 0 0 = 有效预载入 0 有效计数器 0 0 = 时钟 0 选择 预载入 1 时钟比较 错误 时钟 1 源 时钟 1 计数器 1 时钟 1 选择 PLLMUL 53 数字窗口安全装置 (DWWD) 如果应用在适当的时间窗口内没有成功处理安全装置, 那么 DWWD 模块将复位 MCU 或者生成一个到 CPU 的不可屏蔽中断 可检测一个 CPU 的可选安全诊断 包括一个 25 位下数计数器 当生成一个失控 CPU 中断时, 警告错误信令模块 支持多个处理窗口 :100%, 50%, 25%, 12.5%, 3.125% 处理要求一个特定的两部分密钥序列 一旦被启用则只能由一个系统或加电复位禁用 下数计数器 100% 窗口 窗口打开 窗口打开 50% 窗口 窗口打开 窗口打开 25% 窗口 12.5% 窗口 6.25% 窗口 3.125% 窗口 窗口打开 打开 开 开 窗口打开 打开 开 开 DWWD 预载入 下数计数器 0 = 数字窗口安全装置 复位 中断 ESM PLLMUL 54 27

29 内存保护单元 (MPU) 针对关键总线主控, 执行一个专用内存保护单元 (MPU) 锁步 CPU ARM Cortex ARM - R4F Cortex - ARM 160MHz R4F Cortex 160MHz - R4F 故障安全监测 串行 I/F MibSPI 128 缓冲器 ; 4 CS MibSPI 128 缓冲器 ; 4 CS MibSPIP 128 缓冲器 ; 4 CS 内存 电源 时钟和安全性 支持 ECC 的闪存 OSC PLL POR 支持 ECC 的 RAM 内存保护 PBIST LBIST CRC RTI 校准 内存接口 JTAG 调试 EMIF 嵌入式跟踪 DMA 增强型系统总线和矢量中断管理 网络 I/F ADC 定时器 / IO FlexRay 2 ch FlexRay 传输单元 MibADC1 High End 8K Message RAM 64 缓冲器 Timer 高端 (FTU) 12 位, 16 通道 (NHET) 定时器 CAN1 (64mb) (8 通道共用 ) 128 传输单元 words, CAN2 (64mb) (HTU) 32 ch CAN3 (32mb) MibADC2 64 缓冲器 GIOA/INTA (8) UART1 (LIN1) 12 位, 16 通道 (8 通道共用 ) GIOB (8) UART2 (LIN2) 总线主控包括 CPU, DMA, HTU 和 FTU 一个被定义的内存区域可实现对总线主控的读取和写入访问 定义区域之外的访问可为一下任一模式 只读 : 允许对区域外内存的读取访问 写入访问被阻止 无访问 : 读取和写入被阻止 在内存保护违反错误信令模块时 (ESM) 通知 55 电压监视器 电源电压监视器 (VMON) 保持复位直到内核和 I/O 电源轨在预期的范围内 ( 免除了对电源排序的要求 ) 如果内核或 I/O 电源超过定义的最小 / 最大阀值的话讲复位置为有效 当内核电源低于额定最小电压时将复位置为有效并且异步将所有 I/O 引脚置位为高阻抗模式

30 双模数转换器 双 12 位 ADC 内核 : 内核 1 支持 24 个模拟输入 & 内核 2 支持 16 个模拟输入 2 个内核间可共用多达 16 个模拟通道以实现安全转换 / 比较 (1oo2 安全冗余 ) 内部 ADC 基准电压可被用来检查转换器功能性 应用在 ADC 输入上检测到开路 / 短路时启用自检模式 ADC 校准逻辑可改进准确度或被用来检测多个测试结果间的漂移 AD1EVT AD1IN[7..0] AD1IN[23..8] / AD2IN[15..0] VccAD VssAD VrefHi VrefLo AD2EVT 自检 & 校准 MibADC1 MibADC2 自检 & 校准 到外设总线到外设总线 57 Hercules TM Safety MCU 为开发人员提供 Hercules MCU 为开发人员提供关键安全应用 : 防止随机和系统故障 针对应用区分的净空余量 简化的开发和子系统认证 硬件安全架构 应用净空余量 安全认证 & 文档 锁步 CPU CPU & RAM 内置自检 闪存 & RAM ECC 时钟监视 电压监视 超过 350 DMIP 的处理能力 TI 机密 - 受 NDA 限制 58 29

31 Hercules MCU 开发工具 59 Hercules TM 开发套件 开发评估 TMDXRM48USB RM48 USB 棒套件 TMDX570LS31USB TMS570 USB 棒套件 TMDX470MF066USB TMS470M USB 棒套件 USB 供电 板载 USB XDS100v2 JTAG 调试 板载 SCI 至 PC 串行通信 到选择信号引脚测试点的访问 LED, 温度传感器 & 光传感器 加速计 CAN 收发器 TMDXRM48HDK RM48 开发套件 TMDXRM46HDK RM46 开发套件 TMDXRM42HDK RM42 开发套件 TMDX570LS31HDK TMS570 开发套件 TMDX470MF066HDK TMS470M 开发套件 $79 $199 板载 USB XDS100v2 JTAG 调试 借助 JTAG 的外部高速仿真 CAN 收发器 LED, 温度传感器 & 光传感器 针对 ETM/RTP/DMM 的跟踪垫 (TMS570 & RM48) RJ45 10/100 以太网 (TMS570 & RM48 & RM46) USB-A 主机接口 (RM48 & RM46) USB-B 器件接口 (RM48 & RM46) 每个套件中包括的软件 : CCStudio IDE: C/C++ 编译器 / 连接器 / 调试器 HALCoGen 外设驱动程序生成工具 CCS 和 nowflash 闪存编程工具 HET IDE: 仿真器 & 汇编程序 带有项目 / 代码示例的 GUI 演示 60 30

32 Hercules TM 电机控制开发套件 DRV8301-RM48-KIT DRV8301-RM46-KIT DRV8301-LS31KIT DRV8301-LS12KIT Hercules 安全 MCU 控制卡 具有编码器和霍尔传感器的 Teknic 7 Amp, 6000 RPM 电机 演示软件 包括在套件中的软件 具有自我保护和可编程增益放大器的 DRV V, 60A EVM 启售价 $499 Code Composer Studio v5 电机控制演示 具有 CMSIS DSP 库的 MotorWare 软件示例 HALCogen 驱动程序配置器 定时器协处理器工具 (HET IDE) 用户指南 61 Hercules TM 软件工具 IDE ( 编译器 & 调试器 ) 使用以下 IDE 的编程 / 调试代码 : Code Composer Studio IAR Workbench KEIL µvision Green Hills MULTI IDE RTOS 支持 Real Time Operating System Support: SAFERTOS: High Integrity Systems µc/os: Micrium ThreadX: Express Logic: SCIOPTA RTOS AUTOSAR: Vector Microsar and EB tresos 基于 GUI 的代码生成工具和其它软件工具 安全 MCU 演示 安全特性亮点 周围照明 & 温度演示 LED 灯显示 Maze 游戏 借助 CCS 的可视源代码 PLL 计算器轻松配置 Hercules 平台锁相环模块内的 FMzPLL 和 FPLL HALCoGen 高抽象级别上的用户输入 基于图形的代码生成 轻松配置 针对新项目的快速启动 支持 CCS, IAR & KEIL IDEs now ECC ECC 生成工具生成用于 Hercules 器件纠错码的命令行程序 可与 CCS 一同使用 HET IDE 图形化编程环境 输出仿真工具 生成 CCS 可用的软件模块 包括 TI 的功能示例 nowflash 闪存编程工具在不使用 IDE 的情况下, 将代码载入到器件内的 GUI 和命令行编辑器 62 31

33 Code Composer Studio 基于针对嵌入式调试工具的 Eclipse 业界标准 现代窗口环境 先进的源代码编辑器 可扩展多内核 / 处理器环境 通过 JTAG 的编程和调试应用 借助脚本处理的测试自动化 Hercules 调试特性 6 硬件断点 无限制的软件断点 集成闪存编程 63 Code Composer Studio 组件 : 菜单和图标 帮助 观察窗口 目标连接 源 & 目标文件 文件依赖关系 编译器 汇编程序 & 连接器搭建选项 源代码查看 反汇编窗口 CPU 窗口 内存窗口 64 32

34 HALCoGen: 硬件抽象层代码生成器 特性 高抽象级别上的用户中断 基于图形的代码生成 轻松配置 针对新项目的快速启动 生成 C 源代码 符合 ANSI 清晰 结构化的编码风格 用于用户维护的可定制代码 支持的外设 系统模块 RTI GIO SCI/LIN CAN SPI ADC 定时器协处理器 (nhet) 交互式帮助系统 描述了工具特性和功能 提供了详细的依赖关系图 提供有用的示例代码 提供工具提示帮助 对 CCS, KEIL 和 IAR IDE 的本地支持 65 NHET 定时器协处理器开发工具 算法库 拖 & 拽指令 NHET ASM 代码 引脚选择 波形查看 NHET 寄存器 图形化编程环境 输出仿真工具 生成 CCS 可用软件模块 包括 TI 的功能示例 图形化波形查看器 输入生成工具 到编码工具的无缝接口 可升级至完全 SynaptiCAD 66 33

35 练习 :Hercules 安全 MCU 演示 67 联系 1: Hercules 安全 MCU 演示 68 34

36 RTP GIO ECP MibADCs MibSPI/ MibSPIP LIN/SCI DCANs FlexRay 以太网 NHET POM Hercules Cortex -R4F 架构预览 : 内存映射 计时和异常 69 Hercules 架构预览 编程 闪存 Cortex-R4F 32 位 ARM Cortex-R4F RAM DMA DMM DAP HTU FTU 总线矩阵 EMIF CRC A2V RTI SYS VIM 外设总线 外设 内存相关逻辑 仲裁逻辑 总线矩阵主控 70 35

37 高性能 Cortex-R4F 浮点 CPU ARM v7r Cortex TM ISA 与 ARM7/9/11 完全向后兼容 锁步 CPU: 单核编程模型 第二个内核检查第一个内核 支持 ARM, Thumb 和 Thumb-2 指令 高达 220 MHz CPU 时钟速度 快速 MULT, DIV, 和 SQRT 可实现基于模型的控制 ; 简化算法工具 12 区域内存保护 广泛的 ARM IDE/ 编译器支持 : CCS, KIEL, IAR, 等 ARM Cortex -R4F 高达 220 MHz 性能超过 350 DMIPS 高性能浮点 基于 ARM: 工业广泛采用 单精度 / 双精度 IEEE 754 浮点 浮点和整数指令并行运行 超标量, SIMD, 8 级管道提供 1.6 DMIPS/MHz 的性能 TI 的可扩展基于 ARM 的解决方案 :Stellaris, Concerto Hercules & Sitara 71 Cortex-R4F 特性 32 位 ARM 和 16/32 位 Thumb2 指令集 带有整体嵌入式 ICE-RT 逻辑的整数单元 使用全局历史缓冲器和返回堆栈的动态分支预测 浮点单元 低中断延迟 不可屏蔽中断 Harvard 一级内存系统具有 : 紧密耦合内存 (TCM) 接口, 此类接口支持纠错或奇偶校验内存 内存保护单元 (MPU) 二级内存接口 : 单一 64 位主控接口 64 位受控接口,TCM RAM 块和高速缓存 RAM 块 到 CoreSight ETM-R4 或 CoreSight DAP 的调试接口 72 36

38 Cortex-R4F 管道 AGU ( 地址生成 单元 ) 数据高速缓存访问 1 st 级 数据高速缓存访问 2 nd 级 数据格式化 64 位 取指令 1 st 级 取指令 2 nd 级 指令格式化 / 分支预测 指令解码 寄存器读取和指令发布 移位 ALU 回写 如果预计采取分支 / 返回则预取指令 MAC 1 st 级 MAC 2 nd 级 MAC 3 rd 级 分支 1 st 级 分支 2 nd 级 分支 3 rd 级 FP 寄存器读取 FP 1 st 级 FP 2 nd 级 取整 & 回写 浮点 73 数据类型 此处理器支持下列数据类型 双字 (64 位 ) 字 (32 位 ) 半字 (16 位 ) 字节 (8 位 ) 虽然处理器支持非对齐访问,TI 不推荐为了提高总线性能而使用非对齐访问 上面的数据类型应该在它们各自的尺寸边界上对齐 大多数非对齐访问被转换为多个对齐访问 由于内存接口的修改,TMS570 器件用变字大端序格式 (BE32) 存储它们的数据 RM4 器件用小端序格式存储它们的数据 (LE) 74 37

39 内存映射 缺省情况下, 闪存开始地址为 0x ,CPU RAM 开始地址为 闪存被映射到 CPU TCM 的外部用于 ECC 诊断 缺省情况下, 只允许从闪存 RAM 和外部异步内存中执行代码 缺省情况下, 系统和外设控制寄存器的空间被定义为 严格排序 CPU 访问 被保留 区域会导致一个中止异常 在数据表中规定了已定义数据帧内到保留位置访问时 75 电源要求 Hercules MCU: TMS570LS31x/21x 和 RM48x/46x V CCP V CCIO 3.3V 3.3V AD REFHI 3.3V V CCAD 3.3V 电源 I/C 3.3V 1.2V VIN V CC V CCPLL 1.2V 1.2V V SS AD REFLO 主控复位 (SYSESR.PORRST=1) V SSAD nporrst GND 器件复位 nrst 系统复位 ( 双向 ) 如果未连接, 推荐使用一个外部 PU - 复位逻辑 - 电源电压监控器 DWWD, 附件复位, 振荡器错误 76 38

40 电源要求 Hercules MCU: TMS570LS31x/21x 和 RM48x/RM46x AD REFHI 5.0V V CCAD 5.0V 电源 I/C 5.0V V IN V CCP V CCIO V CC V CCPLL 3.3V 3.3V 1.2V 1.2V 3.3V 1.2V V SS AD REFLO 主控复位 (SYSESR.PORRST=1) V SSAD nporrst GND 器件复位 nrst 系统复位 ( 双向 ) 如果未连接, 推荐使用一个外部 PU - 复位逻辑 - 电源电压监控器 DWWD, 附件复位, 振荡器错误 77 Hercules & TPS65381 安全伴侣 IC Hercules MCU: TMS570LS 和 RM4 V CCP V CCIO 3.3V 3.3V AD REFHI 3.3V V CCAD 3.3V CAN TPS V 3.3V 1.2V V IN V CC 1.2V LBIST V CCPLL 1.2V ABIST V SS OSC MON 主控复位 (SYSESR.PORRST=1) AD REFLO V SSAD nporrst GND 复位逻辑 过热监视 安全装置 CS SOMI SIMO SPI SPICLK nerror MCU 错误监视 封装 :32 引脚 HTSSOP PowerPAD 更多与 TPS65381 有关的信息请访问 :

41 示例 Hercules 时钟源和域 OSCIN 时钟源 # 0 GCLK1 & GCLK2 ( 到 CPU) /1..64 X /1..8 /1..32 外部时钟 HCLK ( 到系统总线 ) 低功耗振荡器 80 KHz 10 MHz /1..64 X /1..8 外部时钟 2 / /1..16 VCLK (t 到外设总线 ) /1..16 VCLK2 ( 到 NHET/HTU) /1..16 VCLK3 ( 到 EMIF/ENET) 全局时钟模块 (GCM) 管理所有时钟源和域 时钟源和域的灵活映射 未使用的时钟源和域可被禁用以省电 用于具有严格容差限制的异步时钟域, 例如 CAN, EMAC, USB 对您的特定器件请查阅 Hercules 文档 VCLK VCLK VCLKA1 ( 到 DCANx) /1,2,4, 或 VCLK RTI1CLK ( 到 RTI & DWWD) VCLKA3 ( 到 USB) VCLKA3_DIVR DIVR ( 到 USB 主机 ) 79 锁相环 (PLL1 和 PLL2) OSCIN, f OSCIN NR /1..64 PLL1 ODPLL /1..8 R /1..32 PLL1CLK, f PLL1 REFCLKDIV ODPLL PLLCTL2 PLLDIV NF / PLLMUL PLLCTL1 NR2 /1..64 PLL2 ODPLL2 /1..8 R2 /1..32 PLL2CLK, f PLL2 REFCLKDIV ODPLL PLLCTL3 PLLDIV NF2 / PLLMUL PLLCTL3 PLLCTL1 和 PLLCTL2 寄存器来配置 PLL1 使用 PLLCTL3 寄存器来配置 PLL2 TRM 描述了针对 PLL1 配置频率调制设置的过程 频率调制对 PLL2 不可用 80 40

42 复位资源 加电复位 由外部电压监视器或者内部电压监视器置为有效 振荡器故障 当由软件启用时由内部时钟监视器置为有效 CPU 复位 在 LBIST 操作完成后由 CPU 自检控制器置为有效 软件复位 通过软件写入异常控制寄存器来置为有效 外部复位 由外部电路通过驱动热启动 (nrst) 信号为低电平来置为有效 调试复位 由 ICEPICK JTAG 模块置为有效 81 Hercules : 闪存工具 82 41

43 nowecc <return_value> nowecc [options] -i <input_file> [-o <output_file>] 为编辑闪存生成 ECC 数据 可执行命令行 返回值 = 0 表示运行期间无错误 独立的错误代码以区分每一类型的错误 输入文件是唯一需要的参数 可被扩展 Tektronix, Intel Hex, Motorola-S, COFF 或 ELF 格式 输出文件指定将被生成的输出文件的名称 如果未指定名称,ECC 被加在指定输入文件的末尾 83 闪存编程选项 使用 nowflash/code Composer Studio v4.x 的片载编程 要求 JTAG 连接 仿真器支持 : Blackhawk BHUSB560M Spectrum Digital XDS510PP, XDS510PP+, XDS510USB, XDS560RUSB Signum JTAGjet 德州仪器 (TI) SPI525, XDS100v2, XDS560 借助用户引导加载程序代码的板载编程 必须使用德州仪器 (TI) 发布的 API 例程 可使用多个通信接口 有必要验证编程和擦除例程 板外编程 单一器件或并发编程 支持高度自动化 84 42

44 nowflash 闪存编程工具 基于 PC 的软件工具 : 可执行的 GUI + 命令行 通过 JTAG 与微控制器通信 可被用来编辑 擦除 读取或验证闪存存储器 也支持 RAM 的定制代码的执行 ( 只来自命令行 ) 85 UniFlash 闪存编程工具 基于 PC 的软件工具 通过 JTAG 与微控制器通信 可被用来编辑和擦除闪存存储器 基于 Eclipse 支持 Windows 和 Linux UniFlash WIKI 86 43

45 闪存应用编程接口 (API) 只作为目标库文件发布 支持片载 RAM 发出闪存操作 支持最大额定时钟频率上的运行 针对以下操作的库例程 检查地址空间 紧凑法 擦除 编程原点 编程数据 计算校验和 验证 例程也管理 ECC 87 实时中断模块 (RTI) 88 44

46 RTI: 计数器方框图 比较上数计数器 RTI 计数器特性 : 用于生成不同时基的两个独立计数器块 每个块包括 一个 32 位预分频计数器 一个 32 位自由运行计数器 用于捕捉预分频和自由运行计数器的捕捉寄存器 外部事件可被用于增量自由运行计数器 0 可被用于与 FlexRay 总线通信周期或者外部系统时钟输入同步 RTICLK 上数计数器 = NTU0: Fray 宏计时 NTU1: Fray 周期开始 自由运行计数器 到比较单元 NTU2: PLL2 NTU3: EXTCLKIN1 捕捉上数计数器 捕捉自由运行计数器 捕捉事件源 1 捕捉事件源 2 外部控制 请注意 : 计数器块 1 不包含外部 NTU 输入 89 RTI: 比较块方框图 RTI 比较特性 : 四个比较中断和 DMA 请求 每个可使用两个可用自由运行计数器中的任一个 比较值自动更新以大大减少 CPU 介入 可选择生成 DMA 请求以及比较中断 两个计数器 - 溢出中断 当一个自由运行计数器溢出并且归零时生成 更新比较 + DMA 启用 比较 DMA 请求 计数器块 0 计数器块 1 = 中断启用 INT 请求 90 45

47 矢量中断管理器 (VIM) 91 VIM: 主要特性 VIM 硬件 到 ARM CPU 的专用矢量中断接口 IRQ 矢量地址的硬件重定向 针对优先级排序和控制中断源的硬件辅助 VIM 功能 96 个中断请求 通过编程将中断请求映射到中断通道 通过中断请求映射来提供可编程优先级 对连接至 CPU 的中断通道进行优先级排序 将中断处理例程 (ISR) 的地址提供给 CPU VIM 模式 传统 ARM7 模式 (FIQ/IRQ) 矢量中断 (FIQ/IRQ) 硬件矢量中断 ( 只适用于 IRQ) 92 46

48 VIM: INT0 FIQ IRQ VIM RAM Phantom 中断地址 ISR0 通道映射 优先级解码器 地址 ISR1 外设总线接口 V B U S P 地址 ISR93 INT94 地址 ISR94 寄存器 IRQVECTADDR [31:0] IRQACK } 矢量中断接口来自 CPU VBUS P 93 VIM: 连接方框图 外设 - 中断请求 ESM ADC SCI SPI DCAN NHET 特殊中断 VIM CPU 中断 中断启用 中断优先级 中断映射 中断生成 VBUSP RTI GCM CPU VIC 端口 94 47

49 直接内存访问 (DMA) 95 DMA: 主要特性 可单独启用的 32 个通道 64 个 DMA 请求 软件和硬件 DMA 请求 ( 事件同步 ) 支持 8, 16, 32 或 64 位处理 针对源 / 目的的多寻址模式 固定的 增量的 加索引的 自动启动 通道链接功能 1 个 FIFO ( 先进先出 ) 一个与总线矩阵对接的 AHB 到主控端口 (64 位宽 ) 一个与针对寄存器接口的 VBUS 对接的受控端口 针对 DMA 可访问的地址范围的内存保护 96 48

50 DMA: 如何开始一个传输? 软件请求 通过设置 SWCHENAS [31:0] 寄存器内的位 x, 传输 ( 通道 x) 将被触发 硬件请求 一个有效 DMA 请求信号将触发一个 DMA 事务 可处理多达 64 条 DMAREQ 线路 由于 DMA 控制器被 HCLK 锁定, 所有 DMA 请求信号的持续时间必须至少达到 HCLK 的长度 由其它控制数据包触发 当一个控制数据包完成已设定数量的传输时, 它能够触发另外一个通道来启动传输 97 DMA: 通道中断 每个通道可被配置成在几个传输条件时生成中断 : FTC ( 数据帧传输完成 ) 中断 LFS ( 最后一个数据帧传输开始 ) 中断 HBC ( 头半个块完成 ) 中断 BTC ( 块传输完成 ) 中断 BER ( 总线错误 ) 中断 98 49

51 内存保护 0xFFFFFFFF 0xFFF78000 区域 3 区域 2 系统 + 外设 0x08003FFF 0x 区域 Region 1 1 区域 0 RAM 无访问限制 应用访问限制 0x 通用 I/O (GPIO)

52 VBUSP ( 外设总线 ) GIO 主要特性 两个端口 (GIOA/B), 每个端口有 8 个双向和位可编程 I/O 引脚 外部中断功能 单边沿或双边沿上的可编程中断检测 可编程边沿检测极性 可编程中断优先级 可能的引脚配置 : 数据方向 数据输入 / 输出 数据设置 / 清零 开漏 上拉 / 下拉 GIOA[7:0] / INT[7:0] GIOB[7:0] / INT[7:0] GIOA GIOB 外部中断块 VIM 101 GIO 方框图 GIOPSL GIOPULDIS 外部引脚 开漏逻辑栅极 GIODIRx GIOPDRx GIODOUTx GIODSETx GIODCLRx GIODINx 下降边沿上升边沿 GIOPOL GIOFLG 中断禁用中断启用 GIOENASET 低优先级高优先级 GIOLVLSET 低级中断处理 至 VIM 外设总线 / VBUS GIOINTDET GIOENACLR GIOLVLCLR 高级中断处理 至 VIM

53 GIO 单元配置 上拉 3 态输出缓冲器 GIOPSL[x] GIOPULDIS[x] GIOPDR[x] GIO 引脚 GIODOUT[x] GIODIR[x] GIODIN[x] 下拉 输入缓冲器 寄存器 说明 GIOPSL 在引脚上选择拉动类型 ( 上拉 / 下拉 ) GIOPULDIS 禁用引脚上的拉动控制功能 GIOPDR 控制引脚的开漏配置 GIODOUT 当配置位输出时, 控制发送到外部引脚的信息 GIODIN 从外部引脚接收信息 GIODIR 控制引脚的方向 ( 输入 / 输出 ) 103 高端定时器 (N2HET)

54 高端定时器 (NHET) 地址 / 数据总线 用户可编程定时协处理器 使用低 CPU 开销来提供高级和复杂定时功能 主机接口 CPU 等待控制 影子寄存器 全局 & 预分频控制寄存器 预分频器 具有奇偶校验保护的 128 字指令 执行单元 定时器 RAM 输入 / 输出 t 单元 程序 RAM 控制 RAM 数据 RAM 地址寄存器 中断控制 运行控制 指令寄存器 32 个高分辨率通道 同步器 比较 寄存器 A, B, T 32 位 ALU I/O 控制寄存器 将数据从 NHET 传输到数据 RAM ( 写入或输出 CPU) 的专用 DMA 功能性 (HTU) 基于引脚条件和比较的有条件程序执行 针对复杂和传统定时功能, 诸如比较 捕捉, PWM,GPIO 等的 32 个输入 / 输出 (I/O) 通道 ( 引脚 ) 抑制滤波器消除了有害的输入频率 多个用于定时器的 25 位虚拟计数器 事件计数器和模拟计数器 由子环路针对多重分辨率功能执行的高分辨率 I/O 和粗糙分辨率 32 I/O 通道 105 NHET: 应用示例 脉宽调制 单通道 / 多通道 PWM 支持同步 / 异步占空比更新的 PWM 支持同步定期更新的 PWM 使用 RADM64 指令的相移 PWM 其它特性 调频输出 脉宽计数 ( 使用 PWCNT) 时间戳 ( 使用 WCAP) 事件计数器 ( 使用 ECNT) 脉冲累加器示例 ( 使用 ECNT ) 多分辨率机制 频率和脉冲测量 脉宽和周期测量 ( 使用 PCNT) 使用处于 HR 模式中的 PCNT,Hrshare 特性和 自动读取 / 清零 位被置位的 64 位读取访问进行的定期测量

55 NHET: 命令行汇编程序 调用 NHET 汇编程序 (hetp.exe): hetp [options] input file 选项 : -c32 为 TMS570 代码生成工具产生一个包含汇编程序指令的输出文件 -hc32 生成一个 C 语言文件和一个头文件 ( 与 -nx 选项一起使用 ) -nx 在器件上指定 x-th HET 模块 ( 与 -hc32 选项一起使用 ) -l ( 小写 L) 生成一个与扩展名为.lst 的文件具有同样文件名的列表文件 -x 生成一个对照表并将其放在列表文件的末尾 示例 : hetp -hc32 -n0 pwm.het 输入 : pwm.het 包含 HET 程序的汇编源代码 输出 : pwm.c 提供一个 C 阵列, 此阵列包含 HET 程序操作代码 pwm.h 提供一个 C 语言结构, 这样可实现其它 C 语言代码到 NHET 字段的简单访问 107 高端定时器传输单元 (HTU)

56 HTU: 方框图 PCR 高端定时器 (NHET) 传输单元 (TU) 8 - 请求 8 - 请求 ( 安静模式 ) 寄存器组 9 地址 本地 RAM 8 个双控制数据包 32 数据 109 HTU: 主要特性 CPU 和 DMA 独立 主控端口直接访问系统内存 HTU 主控访问受到专用内存保护单元的保护 一个与针对寄存器接口的 VBUS 进行对接的受控端口 最多 8 个支持双缓冲配置的双控制数据包 支持 32 或 64 位事务处理 针对 HET 地址 (8 字节或 16 字节 ) 以及系统内存地址 ( 固定,32 字节或 64 字节 ) 每种类型的中断可被路由至两个不同主机 CPU 中的任何一个 单次 循环和自动缓冲器传输模式 请求丢失检测 控制数据包信息被存储在受奇偶校验保护的 RAM 中 事件同步 (HET 传输请求 )

57 练习 2: 使用 N2HET 的 PWM 生成 111 概述 在这个练习中我们将 : 创建一个新的 HALCoGen 项目 配置 HALCoGen 以生成 具有 1 秒周期和 75% 占空比的基本 PWM 具有 0.5 秒周期和 50% 占空比的基本 PWM 使用 PWM 来触发板上的 NHET1[0] 和 NHET1[17] LED 生成并将代码导出至 Code Composer Studio 搭建并将我们的代码部署至微控制器 所需硬件 : 基于 Windows 的 PC (WinXP, Vista, 7) TMS570LS31HDK/USB 或 RM48HDK/USB 所需软件 : HALCoGen Code Composer Studio

58 HALCoGen GUI 概览 菜单和图标 帮助 模块选择 / 配置 器件方框图 器件 / 输出文件浏览器 输出 / 状态 113 HALCoGen 帮助 HALCoGen 的嵌入式帮助窗口提供每个通信驱动程序 已执行的函数 文件依赖关系和示例的全部文档

59 HALCoGen 文件依赖关系和函数列表 文件信息控制 文件浏览器 文件依赖关系图 函数列表 115 建立一个新的 HALCoGen 项目 启动 HALCoGen: Programs Texas Instruments Hercules HALCoGen 创建一个新项目 : File New Project 1 对于 TMS570 套件 : 选择系列 :TMDX570LS31x 器件 :TMDX570LS31USB 对于 RM48 套件 : 选择系列 :TMDXRM48 器件 :TMDXRM48USB 2 3 然后定义一个名称 : N2HET 位置 : C:\myWorkspace

60 驱动程序启用 在 Driver Enable 标签页中启用 HET1 驱动程序 N2HET PWM 配置 在 Driver Enable 标签页中启用 NHET1 驱动程序 在 HET1 PWM 0-7 标签页中 : 将 PWM 0 配置为 75% 占空比, 引脚 0 上的周期为 uS 将 PWM 1 配置为 50% 占空比, 引脚 17 上的周期为 uS

61 N2HET 输出配置 在 HET1 Pin 0-7 标签页中 : 确保输出引脚 0 上的输出被启用 在 HET1 Pin 标签页中 : 确保输出引脚 17 上的输出被启用 生成代码 :File Generate Code 119 设置 Code Composer Studio 启动 Code Composer Studio (CCS) Start Programs Texas Instruments Code Composer Studio v5 Code Composer Studio v5 启动时,CCS 将请您选择一个工作站, 我们选择 C:\myWorkspace 一旦 CCS 加载, 则指向 File New CCS Project

62 建立我们的项目 从新项目窗口, 展开 Code Composer Studio 文件夹 选择 CCS Project 点击 Next 建立我们的项目 我们项目的名称需要与我们的 HALCoGen 项目名称, Exercise, 相匹配 确保您的项目 Family 被置入 ARM 1 下一步, 将变量设置为 Cortex R 对于 TMS570 套件 : 选择 :TMS570LS 对于 RM48 套件 : 选择 :RM48L950 4 然后设定到德州仪器 (TI) XDS100v2 的 Connection 5 然后点击 Finish

63 建立我们的项目 首先展开项目并从 CCS Project Explorer 中删除 main.c 文件 注意 : 当一个新项目被创建时,CCS 将自动创建一个名称为 main.c 的文件 由于我们在使用 HALCoGen 为我们创建的代码, 我们将不需要这个文件 建立我们的项目 下一步需要将我们的 include 文件夹从 CCS Project Explorer 添加到项目 右键点击项目浏览器中的 Exercise 项目 1 然后选择 Properties

64 建立我们的项目 然后在 Properties 窗口中展开 Build -> ARM Compiler 类别并选择 Include Options 1 2 然后选择 + 按钮来将我们的.h 头文件添加到目录 在 Add directory path 窗口中, 点击 Workspace 按钮 3 4 最后, 选择 include 文件夹, 此文件夹由 HALCoGen 为我们创建并且包含我们所有的.h 头文件 125 将代码输入到 CCS 项目 展开项目并在 CCS Project Explorer 中打开 sys_main.c 文件 注意 : 由于 HALCoGen 和 CCS 项目的名称一样, 所有由 HALCoGen 生成的启动和驱动程序文件将被自动包含在 CCS 项目中 使用 sys_main.c 文件作为您的主程序代码

65 Code Composer Studio 在 Code Composer Project 中并输入以下代码 : 在用户代码 1 内, 插入以下代码 /* USER CODE BEGIN (1) */ #include "het.h" /* USER CODE END */ 然后在用户代码 3 内, 插入以下代码 /* USER CODE BEGIN (3) */ hetinit(); while(1); /* USER CODE END */ 127 编译项目 现在代码已完成, 我们着手建立我们的项目 指向 Project Build All 现在我们有.out 文件, 我们需要编辑微控制器闪存存储器

66 编辑闪存 我们现在准备编辑闪存 指向 Run Debug 当它编辑闪存存储器时, 应出现一个新窗口 这将花费一些时间 129 测试我们的程序 点击调试标签页上的绿色箭头来运行我们的程序 程序也可在调试器未连接时运行 点击调试标签页上的红色方框来中止调试器连接 按下板上的复位按钮并观察 N2HET LED 的状态 恭喜您! 您已经完成了这个练习

67 多缓冲串行外设接口 (MibSPI) 131 SPIDAT0 SPIDAT1 VBUS 写入 SPI 方框图 & 特性 16 TX 缓冲器 16 TX 移位寄存器 RX 移位寄存器 16 RX 缓冲器 16 SPI 缓冲器字符长度预分频相位 VBUS 读取 模式生成逻辑 SIMO SOMI ncs[7:0] nena 16 位移位寄存器 双缓冲 TX 和 RX 主控或受控模式 多达 4 个并行 SIMO / SOMI 可选 MSbit 或 LSbit 第一次传输 未使用引脚可用作 GP I/O CLK 频率 VCLK/2 至 VCLK/256 2 至 16 位字符长度 可选 CLK 相位和极性 中断 / DMA 请求当以下情况发生时 TX 缓冲器空 RX 缓冲器满 极性 CLK

68 MibSPI 方框图, 特性 TX DATA CTRL TX DATA CTRL TX DATA CTRL TX DATA CTRL 16 TX 移位寄存器 RX 移位寄存器 16 RX DATA STATUS RX DATA STATUS RX DATA STATUS RX DATA STATUS 字符长度预分频相位 模式生成逻辑 VBUS 写入 VBUS 读取 SIMO SOMI ncs[7:0] nena 16 位移位寄存器 多达 128 个用于 TX 和 RX 的寄存器 多达 8 个传输组 15 个源以触发传输 受奇偶校验保护的内存 主控或受控模式 多达 4 个并行 SIMO / SOMI 可选 MSbit 或 LSbit 第一次传输 未使用引脚可用作 GP I/O CLK 频率 VCLK/2 至 VCLK/256 2 至 16 位字符长度 可选 CLK 相位和极性 可编程中断和 DMA 请求生成条件 多达 16 个 DMA 请求 极性 CLK 133 SPI / MibSPI 安全特性 对所有读取自 MibSPI RAM 的数据进行奇偶校验错误检测 持续监视主控和受控模式中已发送的数据进行 受控去同步检测 ( 只适用于主控模式 ) 针对非响应受控的超时 ( 只适用于主控模式 ) 接收器溢出中断条件以防止数据丢失 数据长度不匹配的检测

69 传输模式 5 引脚选项 主控 受控 (MASTER = 1 ; CLKMOD = 1) 硬件握手 (MASTER = 0 ; CLKMOD = 0) SIMO SIMO SOMI SOMI MSB LSB MSB LSB SPIDAT1 SPICLK SPICLK SPIDAT0 写入 SPIDAT1 nscs[7:0] nscs 写入 SPIDAT0 nenable nenable 写入 SPIDAT1( 主控 ) nscs 写入 SPIDAT0( 受控 ) nenable SPICLK SIMO SOMI 时钟选项 时钟极性 = 0, 时钟相位 = 0 写入 SPIDAT SPICLK SPISIMO MSB D6 D5 D4 D3 D2 D1 LSB SPISOMI D7 D6 D5 D4 D3 D2 D1 D0 接收中的样本 时钟相位 = 0 (SPICLK 无延迟 ) - 数据是 SPICLK 上升边沿的输出 - 输入数据被所存在 SPICLK 的下降边沿上 - 一个到 SPIDAT 寄存器的写入会启动 SPICLK

70 SOMI7 SOMI6 SOMI5 SOMI4 SOMI3 SOMI2 SOMI1 SOMI0 SIMO7 SIMO6 SIMO5 SIMO4 SIMO3 SIMO2 SIMO1 SIMO0 SPI / MibSPI 并行模式 为了实现更高数据流,SPI / MibSPI 的并行模式使得模块能够在多于一条数据线路上发送数据 ( 并行 2, 或 4) 支持移位寄存器最高有效位 (MSB) 优先的并行模式 : PSIMO[7:0] 复用器 并行模式 处于 SPI / MibSPI 中的移位寄存器 去复用器 SOMI[7:0] 请注意 : 使用并行模式时, 必须将数据长度设定为 16 位 如果奇偶校验被启用, 一个额外的 SPICLK 将触发奇偶校验位传输 137 时序设置 延迟寄存器 (SPIDELAY) CSHOLD = 0 (set CS high after transmission) CSHOLD = 1 (held active/ dotted line) SCSx ENAx WDELAY t C2EDELAY = (C2EDELAY / SPICLK) t T2EDELAY = (T2EDELAY / SPICLK) SPICLK t C2TDELAY = (C2TDELAY / VCLK) + 2 t T2CDELAY = (T2CDELAY / VCLK) + 1 VBUSPCLK SOMI DATA

71 控制器局域网 (DCAN) 139 DCAN 特性概述 遵照协议版本 2.0 部分 A, B 的完全 CAN 标准和扩展标示符 可编程位时序, 位速率高达 1Mb/s 多达 128 消息目标 (MO) 针对每个消息目标的标示符屏蔽 针对消息目标的可编程 FIFO 模式 双时钟功能 在发生仲裁丢失或错误时可自动重传数据帧 总线诊断 : 总线关闭 总线错误认可 总线错误警告 总线胶着支配 数据帧错误报告 :CRC, 堵塞, 结构, 位和确认错误 针对自检操作的可编程回路模式 针对调试支持的挂起模式 针对所有 RAM 模块的奇偶校验机制

72 DCAN 方框图 & 特性 VCLK VCLKA CAN_RX CAN_TX DCAN 消息 RAM INT 请求 DMA 请求 消息 RAM 接口 CAN_CLK 只适用于测试模式 CAN 内核 CTRL 寄存器 & MO 访问 模块接口 消息处理器 VBUSP (8, 16 或 32 位 ) 完全 CAN ( 协议版本 2.0 A, B) CAN 内核 处理所有 CAN 协议函数 消息处理器 控制 CAN 内核 消息接口寄存器和 RAM 间的数据传输 处理接受过滤盒中断 /DMA 请求 消息 RAM 64 个消息目标 寄存器 & 消息目标访问 (IFx) 针对模块设置以及通过接口寄存器 (IFX) 进行间接消息目标访问的状态和配置寄存器 模块接口 到 VBUS 外设时钟域的 32 位接口 141 CAN 总线 两线制差分总线 ( 通常为双绞线 ) 最大总线长度取决于传输速率 40 1 Mbps CAN 节点 A CAN 节点 B CAN 节点 C 120W CAN_H CAN_L 120W

73 CAN 节点接线和总线连接 CAN_H 120W CAN_L 120W CAN 收发器 (EX: TI SN65HVD23x) TX RX CAN 控制器 (Hercules MCU) 143 CAN 消息格式 使用消息帧来发送和接收数据 每条消息 8 字节数据有效载荷 标准和扩展标识符格式 标准帧 :11 位标识符 (CAN v2.0a) 仲裁字段 控制字段 数据字段 S O F 11-bit Identifier R T R I D r0 DLC 0 8 字节数据 CRC ACK E E O F 扩展帧 :29 位标识符 (CAN v2.0b) 仲裁字段 控制字段 数据字段 S O F 11 位标识符 S I R D R E 18 位标识符 R T R r1 r0 DLC 0 8 字节数据 CRC ACK E O F

74 FlexRay / 传输单元 ( 只适用于 TMS570) 145 FlexRay 特性概述 开总线系统 支持冗余传输通道 数据速率 20Mb/s ( 每通道 10Mb/s) 支持同步容错全局时基同步 静态和动态数据传输 ( 可扩展 ) 确定的数据传输 无仲裁传输 硬件中执行的容错和时间触发的处理 支持光纤和电气物理层

75 FlexRay 方框图 RX_A FlexRay 模块 A TX_A 端口 A 协议控制器 瞬态缓冲器 RAM - A 全局时间单元 B RX_B 端口 B 协议控制器 瞬态缓冲器 RAM - B 系统通用控制 TX_B FlexRay 传输单元 (FTU) 直接访问 传输单元状态机 输入缓冲器 输出缓冲器 消息处理器 消息 RAM 数据帧 & 符号处理 网络管理 中断控制 VBUS IF ( 受控 ) VBUS IF ( 主控 ) BCLK SCLK 外设总线 VBUS CLK 80MHz 中断 147 TMS570LS 上的 FlexRay (1/2) Bosch FlexRay 内核 (E-Ray) 符合 FlexRay 协议规范 v2.1 2 个通道的每个通道上高达 10 Mb/s 的数据传输速率 8 字节消息 RAM, 用于存储 128 个消息缓冲器, 数据部分最大 48 字节或者 30 个消息缓冲器, 数据部分 254 字节 可支持不同的有效载荷 消息 RAM 的奇偶校验保护 消息处理器控制 消息 RAM 访问仲裁 接受过滤 保持传输进度安排 提供状态信息

76 TMS570LS 上的 FlexRay (2/2) 每个消息缓冲器可被配置为 接收缓冲器 发送缓冲器 每个消息缓冲器可被分配为 通信周期的静态分段 通信周期的动态分段 一个接收 FIFO 的部分 通过输入和输出缓冲器到消息缓冲器的直接 CPU 访问 针对与消息缓冲器进行自动数据交换的专用传输单元 ( 类似于 DMA), 而无需 CPU 干预 针对数据帧 ID, 通道 ID 和周期计数器的过滤 可屏蔽模块中断 支持网络管理 149 FlexRay 通信结构 周期 [n] 周期 [n+1] 周期 [ ] 静态段 动态段 符号窗口 NIT 静态段 动态段 符号窗口 NIT 槽 1 槽 2 槽 3 槽 m-1 槽 m m + 1 m + 2 m + 3 动态槽 m+4 m + 5 m + 6 动态槽 7... m + x 帧头 有效载荷 帧尾 CID 帧头有效载荷帧尾 CID T 有效载荷长度可变

77 通道 B 通道 A 保留位 有效载荷帧头标示符 空帧标示符 同步帧标识符 启动帧标示符 FlexRay 消息帧格式 帧 ID 有效载荷长度 头 CRC 周期数 数据 0 数据 1 数据 n CRC CRC CRC 位 7 位 11 位 6 位 字节 24 位 帧头分段 FlexRay 5 + (0 254) + 3 字节 有效载荷分段 帧尾分段 151 FlexRay 通信周期 通信周期 静态分段固定时分多址 动态分段固定时分多址 A1 C1 D1 D2 C2 E2 时间 A4 B C1 C2 A3 时间 静音 静音 物理帧

78 FTU 数据传输机制 协议控制器状态机 CPU 中断 触发 时间触发 消息 RAM 数据 RAM FTU TCR TBA 帧头分区 FlexRay 总线 结构阵列 { 帧头, 有效载荷 } VBUS ( 外设总线 ) 控制 数据 ( 有效载荷 ) 分区 FlexRay 内核 153 FlexRay 传输单元关键特性 无需 CPU 干预的数据传输 从 FlexRay 消息 RAM 到数据 RAM ( 读取 ) 从数据 RAM 到 FlexRay 消息 RAM ( 写入 ) 传输类型 数据和帧头部分 只传帧头部分 只传数据部分 传输配置 RAM ( 支持奇偶校验 ) 配置传输序列 奇偶校验保护 触发启动一个传输 由 CPU 驱动 ( 单一传输序列 ) 由事件驱动 ( 单一或连续传输序列 )

79 FlexRay 传输单元关键特性 不同传输条件 如果消息缓冲器的状态标志 ( 帧头部分 ) 已经被分别更新 如果消息缓冲器的数据部分已经被分别更新 保持不变 当消息缓冲器传输完成时, 可屏蔽中断生成 内存保护单元 可定义一个内存段 ( 起始和终止地址 ) 复位后, 不设置内存段 155 串行通信接口 (SCI/UART/LIN)

80 SCI 特性 可编程数据帧格式 1 起始位 1 至 8 数据位 0 或 1 地址位 0 或 1 奇偶校验位 1 或 2 停止位 异步通信格式 支持唤醒功能的 2 个多处理器模式闲置线路模式 ; 地址位模式 可编程波特率 多于 个不同的波特率 100MHz VCLK 时的最大值为 3.125Mbps 错误检测 奇偶校验 撤销和组帧错误 中断检测 噪声保护功能 双缓冲接收和发送功能 157 SCI 方框图 地址位 移位寄存器 发送器 SCITX TX EMPTY TXRDY TX INT ENA TX 中断 发送缓冲器 TXENA VCLK 波特时钟生成器 SCI BAUD 移位寄存器 接收器 RX 错误 SCIRX 中断检测唤醒 INT ENA INT ENA 错误中断 P/O/F 错误 INT ENA RX 唤醒 接收缓冲器 RXRDY RX INT ENA RX 中断 t

81 SCI 帧格式 起始 0 (LSB) 奇偶校验停止 (MSB) 闲置线路模式 地址位 起始 0 (LSB) (MSB) 地址 奇偶校验 停止 地址位模式 159 典型 LIN 应用 (TMS570) 后视镜 乘客门锁, 车窗 后门锁, 车窗 照明 调平装置 风扇 减震器 车内环境控制 雨刷器 后雨刷 压缩机 转向轮 CAN BCM 网关 天窗 仪表盘 车门控制 驾驶座 调平装置 照明 后视镜 驾驶员门锁, 车窗 R 后门锁, 车窗

82 LIN 关键特性 LINRX LINTX 校验和计算器 ID 奇偶校验器 位监视器 TXRX 错误检测器 (TED) 超时控制 计数器 比较 FSM 屏蔽过滤器 读取数据总线 写入数据总线 地址总线 接口 8 接收缓冲器 DMA 控制 SCI 与 LIN 1.3 或 2.0 兼容 LIN 2.0 主控兼容 硬件 LIN 协议处理器 多缓冲接收和发送单元 自动校验和生成和验证 针对消息过滤的 ID 屏蔽 DMA 功能 同步中断检测 受控自动同步 可选波特率更新 同步验证 自动位监视 自动错误检测 SCI (UART) 模式 同步器 8 发送缓冲器 LIN 161 外部内存接口 (EMIF) / 参数覆盖模块 (POM)

83 EMIF: 方框图 CPU EMIF_nCS[0] EMIF_nRAS EMIF_nCAS EMIF_CLK EMIF_CKE SDRAM 接口 DMA 开关中央资源 (SCR) EMIF EMIF_nCS[2,3,4] EMIFnOE EMIF_nWAIT EMIF_RnW 异步接口 其它总线主控 EMIF_nWE EMIF_BA[1:0] EMIF_nDQM[1:0] EMIF_DATA[15:0] EMIF_ADDR[21:0] 共用 SDRAM 和异步接口 163 EMIF: 主要特性 异步内存支持 到 SRAM 内存以及 NOR 闪存存储器的接口 22 条地址线路,3 个芯片选择, 每个高达 16MB 16 位数据总线宽度 可编程周期时序 选择选通模式选项 具有可编程超时周期的扩展等待模式 数据总线驻留 同步 DRAM 内存支持 一组 两组和四组 SDRAM 器件 22 条地址线路,1 个芯片选择 具有 8 列,9 列,10 列和 11 列地址的器件 两个或三个时钟周期的 CAS 延迟 16 位数据总线宽度 3.3V LVCMOS 接口 支持 SDRAM 自动刷新和省电模式

84 参数覆盖模块 : 主要特性 到内部 / 外部内存接口的重定向程序内存访问 ( 覆盖 ) 提供高达 32 个可编程内存区域以替代非易失性内存 可编程区域起始地址 可编程区域尺寸 (64 字节到 256k 字节 ( 以 2 的幂为步长 )) 高达 4M 字节外部覆盖内存 22 位起始地址尺寸 覆盖内存为被映射的内存 可由任一主控写入 ( 例如 CPU, DMA, DMM 等 ) 165 POM: 方框图 外部内存 ( 覆盖 ) EMIF SCR 其它主控访问 POM 程序内存 数据 地址 包装程序 数据 地址

85 覆盖区域示例 程序内存 覆盖内存 1K 字节 0x x 字节 64 字节 0x x K 字节 167 多缓冲模数转换器 (MibADC)

86 至外设总线 至外设总线 24:1 复用器 MibADC 方框图 ADIN ADIN0 内部事件 1.. 内部事件 7 时间逻辑 组 1 组 2 事件 自检 & 校准 Chnsel Swtsel (Test / Cal) 采样电容器放电 CAP Dis. 排序器和内存接口控制器 VrefLO VrefHI VSSA VCCA Ctrl Chn 10/12 位模式转换器 ( 逐次逼近 ) FIFO 空 DMA 请求 事件 FIFO 组 1 FIFO 校准 & 错误检测 ADC RAM 组 2 FIFO ADEVT GIO 控制 3 级阀值中断源 中断阀值 + 计数器事件, 组 1 组 2 3 ADCLK 预分频器 5 转换组选择 DMA 请求 结果格式化 - 通道 ID 屏蔽 - 8/10/12 位模式屏蔽 3 带有奇偶校验带有 RAM 测试带有自动初始化 中断请求 VCLK 24 外设总线 (VBUSP) 169 MibADC ADC 工具 双 12 位 ADC 内核 : MibADC1 (AD1IN + ADSIN = 24 通道 ) MibADC2 (ADSIN = 16 通道 ) 2 个内核间共用 16 个模拟通道来进行关键安全转换 / 比较 1oo2 安全冗余 AD1EVT AD1IN[7..0] ADSIN[23..8] 自检 & 校准 MibADC1 内部 ADC 基准电压可被用于检查转换器功能性 自检模式使得应用能够检测 ADC 输入的开路 / 短路 VccAD VssAD VrefHi VrefLo ADC 校准逻辑可改进准确度或被用于检测多个测试结果间的漂移 偏移纠错 MibADC2 AD2EVT 自检 & 校准

87 MibADC 运行模式 转换 模式 用于转换所选外部输入电压的正常激活模式 采样 电容器 放电模式 ADC 采样电容器接地的激活模式 校准模式 用于使用内部基准电压进行校准的特别激活模式 自检模式 用于使用内部基准电压进行故障检测的激活模式 省电模式 ADC 内部时钟停止的无效模式 171 MibADC 转换组 事件组选择 (ADEVSEL) 输入通道选择寄存器 ADG1SEL ADG2SEL 事件组 FIFO 12 值 8 值 组 1 FIFO 9 值 3 值 组 2 FIFO 读取 ADEV 缓冲器 读取 ADG1 缓冲器 读取 ADG2 缓冲器

88 MibADC 转换结果 V CCAD V SSAD V REFHI V REFLO Vin S/H 复位 12 位模数转换器 ( 逐次逼近 ) 12 OUT ACQ11:0 ADCLK Start EOC 12 bit ADC: DIGITAL_RESULT = 4096 * (Vin V REFLO ) / (V REFHI - V REFLO ) 173 MibADC 中断 组 转换终止 所有被分配给一个特定组的通道被转换 组 内存阀值 转换结果的数量超过阀值寄存器值 组内存 溢出 ADC 转换的数量超过分配给那个转换组的缓冲器数量 量级 阀值 最多三个通道上转换结果的量级比较 两个通道转换结果或一个通道转换结果与一个门限值的可编程比较 奇偶校验错误 奇偶校验错误发生时,ADC 模块发送一个奇偶校验错误信号到系统模块

89 练习 3: 使用 MibADC 来采集环境光照度传感器数据 175 ADC 练习概述 我们将在这个练习中 : 使用 ADC 模块从环境光照度传感器获得数据 将经转换的 ADC 值通过 SCI/UART 模块发回 PC

90 建立一个新的 HALCoGen 项目 启动 HALCoGen: Programs Texas Instruments Hercules HALCoGen 创建一个新项目 : File New Project 1 对于 TMS570 套件 : 选择系列 :TMDX570LS31x 器件 :TMDX570LS31HDK 或 器件 :TMDX570LS31USB 对于 RM48 套件 : 选择系列 :TMDXRM48 器件 :TMDXRM48HDK 或 器件 :TMDXRM48USB 2 3 然后定义一个名称 : ADC 位置 : C:\myWorkspace 177 驱动程序启用 启用器件 Driver Enable 子标签页中的 SCI2 和 ADC1 驱动程序

91 SCI 配置 选择 SCI2 标签页, 然后选择 SCI/LIN Data Format 子标签页 确保用以下参数设置 SCI 模块 : 波特率 :9600 数据位 :8 无奇偶校验,2 个停止位 179 ADC 组配置 在 ADC1 标签页和 ADC1 Group 1 子标签页中, 配置 FIFO 大小, 触发源和 ADC 通道, 入下图所示 每软件触发中, 只有一个转换被完成 软件触发作为触发源 环境光照度传感器被连接至 MibADC1 引脚 9 生成代码 :File Generate Code 或点击

92 MibADC 练习 将以下代码插入 sys_main.c 文件内的相应部分 用户代码开始 (0) - #include header section /* USER CODE BEGIN (0) */ #include "sci.h" #include "adc.h" #include "stdlib.h" unsigned char command[8]; /* USER CODE END */ 181 MibADC 练习 用户代码开始 (3) - Main() 部分 void main(void) {/* USER CODE BEGIN (3) */ adcdata_t adc_data; //ADC Data Structure adcdata_t *adc_data_ptr = &adc_data; //ADC Data Pointer unsigned int NumberOfChars, value; //Declare variables sciinit(); //Initializes the SCI (UART) module adcinit(); //Initializes the ADC module while(1) // Loop to acquire and send ADC sample data via the SCI (UART) { adcstartconversion(adcreg1, 1U); //Start ADC conversion while(!adcisconversioncomplete(adcreg1, 1U)); //Wait for ADC conversion adcgetdata(adcreg1, 1U, adc_data_ptr); //Store conversion into ADC pointer value = (unsigned int)adc_data_ptr->value; NumberOfChars = ltoa(value,(char *)command); scisend(scilinreg, 2, (unsigned char *)"0x"); //Sends '0x' hex designation chars scisend(scilinreg, NumberOfChars, command); //Sends the ambient light sensor data scisend(scilinreg, 2, (unsigned char *)"\r\n"); //Sends new line character } /* USER CODE END */}

93 测试您的代码 完成时, 打开您想要的中断程序 注意 :CCS 中包含一个中断终端程序 为了启用它, 指向 View -> Other 并从 Show View 菜单中选择 Terminal 如果 Terminal 选项不可用, 可通过以下指令将它添加为一个 Eclipse 插件 : 用以下属性设置中断程序 : 波特率 :9600 数据位 :8 无奇偶校验,2 个停止位 点击 Run 按钮来运行程序 现在您应该在中断程序中看到 ADC 结果 使用包含在开发套件中的 LED 闪光灯来改变提供给板载环境光照度传感器的光照级别并且注意中断程序中输出值的改变 183 启用 CCS 中断 1) 选择 View Other 3) 为 Terminal Tab 输入合适的通信设置 2) 然后从 Show View 中选择 Terminal

94 Hercules 支持结构 185 Hercules TMS570 软件和支持 TM TMS570 软件资源 : TMS570 软件产品主页 RTOS 合作伙伴 闪存 API TMS570 MotorWare 软件 InstaSPIN-BLDC 具有 SMO 的 FOC 编码器 DSP 库主页 60+ 定点和浮点函数 CMSIS 兼容 TMS570 示例代码库 初始化 引导载入程序 CAN, 以太网, FlexRay 以及更多 HALCoGen 外设驱动程序 GUI 配置 全新! 全新! 全新! 全新! TMS570 网页 : 数据表 技术参考手册 应用说明 订购评估和开发套件 TMS570 工程师交流论坛 : 新闻和公告 有用链接 询问技术问题 搜索技术内容 TMS570 WIKI: 如果指导 介绍视频 通用信息

95 还想参加其它培训吗? Hercules 微控制器安全设计和编程 : 第一天第二天第三天 欢迎和介绍 Hercules 产品概述 / MCU 路线图 安全标准和 Hercules 安全特性 / 练习 HALCoGen / 练习 Code Composer Studio / 演示 编译器 闪存概述 闪存工具 :nowflash, nowecc / 练习 总结 / 提问 ARM Cortex -R4F CPU 系统模块概述 器件设置 / 启动 实时中断模块 矢量中断管理器 CRC 控制器,CPU 比较模块, 错误信令模块, 双时钟比较,JTAG 安全模块 通用 I/Os / 练习 直接内存访问控制器 (DMA) 串行通信接口 (SCI/UART) / 练习 总结 / 提问 多缓冲 ADC (MIBADC) / 练习 多缓冲串行外设接口 (SPI / MIBSPI-P) DCAN FlexRay 外部内存接口 (EMIF) / 参数覆盖模块 (POM) 以太网 USB 主机 / 器件 HET ( 高端定时器 ) IDE N2HET & 传输单元 / 练习 总结 / 提问 / 调查 187 谢谢! 请填写培训课程调查问卷

96

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20%

KV-cache 1 KV-cache Fig.1 WorkflowofKV-cache 2.2 Key-value Key ; Key Mem-cache (FIFO) Value Value Key Mem-cache ( Value 256B 100 MB 20% 38 11 2013 11 GeomaticsandInformationScienceofWuhanUniversity Vol.38No.11 Nov.2013 :1671-8860(2013)11-1339-05 :A GIS Key-value 1 1 1 1 (1 129 430079) : 设计了一种基于 Key-value 结构的缓存 KV-cache 旨在简化数据结构 高效管理缓存数据

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

Altera SOC Devices

Altera SOC Devices Altera drive for Silicon Convergence 您的用户可定制芯片系统 嵌入式开发人员的需求 Low High 提高系统性能 降低系统功耗 减小电路板面积 降低系统成本 2 实现两全其美 ARM 处理器系统 双核 ARM Cortex-A9 MPCore 处理器 28-nm FPGA 硬核存储器控制器 外设 SoC FPGA ARM + Altera = SoC FPGA

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650

SR-650 SR-652 SR-650HA/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 SR-650 SR-652 SR-650H/ 650/651 : 305 mm EtherNet/IP+PoE SR-650 305 mm SR-652 305 mm 305 mm SR-650H/650/651 SR-652 2 可联网 可通过以太网进行联网 也支持 EtherNet/IP 和 PoE 通过网络连接可远程集中管理多个读取器 联网还为您提供了另一大优势 即可实时查看已扫描的图像 同时

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

01

01 ZEBRA 技术白皮书 条码编码 101 相关知识介绍 引言 20 70 数据 80 20 90 (JIT) AIAG EIA HIBCC HAZMAT 条码的优势提高数据准确性 99% 85% / / 提升效率 / 2 Zebra Technologies 保持一致性 ID 改进库存和资产管理 成本 / 效益分析 ID ID ID (ERP) RFID Zebra Technologies 3 ID

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc

Microsoft Word - T12_T13_AD_PECÀý³Ì½éÉÜ.doc 样例程序 : T12 + T13 + AD + PEC 1. 简介本程序实现功能如下 : 配置 CCU6 工作于三相输出模式 使用通道 0 比较匹配中断触发 T13 内部硬件直接实现 T13 工作于 Single shot 模式, 当周期中断时, 硬件触发 ADC (Injection mode) 转换完成以后, 使用 PEC 将存放于 ADC_DAT2 中的转换结果传送到用户指定的数组中 PEC

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx

Microsoft PowerPoint - Webinar-STM32F3系列新.pptx STM32 F3 系列混合信号 & 数字信号处理器 - July 2013 - STM32 Marketing MCU 平台 精而不简 2 Flash (bytes) 2 M High-performance & Ultra-low-power low 1 M 32-bit core 128 K 16 K 2 K Standard voltage & Ultra-low-power STM8S Mainstream

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个

RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新 RS Pro 深知每个 china.rs-online.com Every part matters china.rs-online.com/rspro RS Pro 以实惠的价格 提供您所需的品质与性能 细节决定成败 正确的选择可以提高整个组织的效率和生产力 每个决策 每个环节都很重要 因此 RS Pro 为您提供了约 40,000 种产品供您选择 这些产品均经过产品质量测试 专为严苛的制造和工业环境而设计 并在不断推陈出新

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344>

<4D F736F F D D342DA57CA7DEA447B14D2DA475B57BBB50BADEB27AC3FEB14DA447B8D5C344> 1. 請 問 誰 提 出 積 體 電 路 (IC) 上 可 容 納 的 電 晶 體 數 目, 約 每 隔 24 個 月 (1975 年 更 改 為 18 個 月 ) 便 會 增 加 一 倍, 效 能 也 將 提 升 一 倍, 也 揭 示 了 資 訊 科 技 進 步 的 速 度? (A) 英 特 爾 (Intel) 公 司 創 始 人 戈 登. 摩 爾 (Gordon Moore) (B) 微 軟 (Microsoft)

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx XMC4500 2012 XMC4000 6 11 6 13 6 15 6 18 6 20 6 22 XMC4000 IDE, C-Compilers, Debuggers, Analysis Utilities HW Debuggers Flash Programming Altium Atollic Keil IAR Systems Wind River Hitex PLS isystems Lauterbach

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

1500XA Daniel Danalyzer 1500XA Rosemount Analytical 1500XA P/N 3-9000-757 A 2010 5 ii 1500XA 1500XA iii iv 1500XA : 1-2 1500XA - 1500XA 1-3 1-4 1500XA 1500XA 1-5 1-6 1500XA 1500XA 1-7 1-8 1500XA

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

表3:

表3: 1. 面 向 对 象 程 序 设 计 课 程 教 学 大 纲 2. 面 向 对 象 程 序 设 计 实 验 教 学 大 纲 3. 离 散 数 学 课 程 教 学 大 纲 4. 数 据 结 构 课 程 教 学 大 纲 5. 数 据 结 构 实 验 教 学 大 纲 6. 计 算 机 组 成 原 理 课 程 教 学 大 纲 7. 操 作 系 统 课 程 教 学 大 纲 8. 操 作 系 统 实 验 教 学

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

一个开放源码的嵌入式仿真环境 ― SkyEye

一个开放源码的嵌入式仿真环境 ― SkyEye SkyEye SkyEye http://hpclab.cs.tsinghua.edu.cn/~skyeye/ I hear and I forget, I see and I remember, I do and I understand. SkyEye SkyEye SkyEye SkyEye SkyEye 1. SkyEye PC pervasive computing PC I O PDA

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information