<4D F736F F D20B2C434B3B92020B942BAE2A9F1A46ABEB9>

Size: px
Start display at page:

Download "<4D F736F F D20B2C434B3B92020B942BAE2A9F1A46ABEB9>"

Transcription

1 研習完本章, 將學會. 運算放大器簡介 非反相放大器 反相放大器 加法器 積分器 微分器 儀表放大器 習題 運算放大器簡介 運算放大器 (Operation ampliier, 簡稱 OPA) 的簡易方塊圖, 如下圖所示, 其中第 級為上一章所討論的差動放大器 OPA 的簡易符號, 如下圖左所示, 其輸入端有兩個, 標示 + 者為非反相輸入端 (Noninerting input), 標示 - 者為反相輸入端 (Inerting input), 輸出端則有一個 通常,OPA 工作需要兩直流偏壓電壓, 一正一負, 標示如上圖右所示, 不過, 一般的習慣並不畫出

2 理想運算放大器 理想運算放大器的特性結構, 顯示如下圖 其理想特性有 A ol : 開環路電壓增益 (Open loop oltage gain) 無窮大 Z in : 輸入阻抗 (Input impedance) 無窮大 Z : 輸出阻抗 (Output impedance) 近似為零 CM: 共模拒斥比 (Common-Mode ejection atio) 無窮大 I in(bias) : 輸入偏壓電流 (Input bias current) 等於零 I in(o) : 輸入抵補電流 (Input oset current) 等於零 V in(o) : 輸入抵補電壓 (Input oset oltage) 等於零 unity : 單位增益頻率 (Unity-Gain requency) 無窮大 根據上述特性, 由圖可知因為輸入阻抗 Z in 無窮大, 所以可以全部接收輸入端的電壓差 (V V2), 放大 A ol 倍後, 又因為輸出阻抗 Z 幾乎為零, 再 00% 的將放大信號輸送到輸出端 實際運算放大器 元件的理想特性, 讓電子電路容易瞭解與分析, 但是, 理想的元件不可能存在, 即使是 OPA, 一樣不例外 ; 舉 74C 為例, 其特性典型值為 Z in = 2 MΩ,A ol = ,Z = 75Ω, CM = 90 db, unity =MHz, I in(bias) = 80 na, I in(o) 型的 OPA 具有高 Z in, 高 A ol 值與低 Z, 圖示如下 = 20 na, V in(o) = 2 mv, 簡言之, 典 2

3 當然,OPA 的電壓與電流同樣受到限制, 例如, 輸出的峰對峰電壓, 會被限制在比輸入直流偏壓電壓小 ~3 伏特 OPA 未接回授元件時, 稱為開環路 (Open loop, 簡寫 ol ), 其小信號的頻率響應為 由上圖可知 A ol 很高, 其值為 c(ol) 等於 0 Hz 低通濾波器特性, 衰減斜率為 -20dB/ 十倍 單位增益頻率 unity MHz 若是有接回授元件, 則叫作閉環路 (Close loop, 簡寫 cl ); 例如, 負回授就是最常用的應用電路 由於開環路 OPA 的 A ol 值很大, 使得輸入的信號再小, 還是會造成輸出信號截波失真, 例如下圖所示為 Pspice 所執行的結果 3

4 因此, 最理想的狀況是 PP=V CC +V EE, 實際上, 大約少 V~3V 之間 將一步級輸入加在 OPA 的非反相放大端, 輸出電壓的最大變化率稱為轉換率 (Slew rate, 簡稱 S ); 例如, in 為峰值 0V 的方波, 結果如下 S ΔV Δt 其中 ΔV V max V min, Δ t為輸出由最小值 V min 昇至最大值 V max 所需的時間, 由輸出結 ΔV 果判斷, 可得 ΔV 20 V, Δt 40 μs, 代入 S, Δt S 20 V 40 μs 0.5 V μs 意即輸出電壓的最大變化不會 微秒內快過 0.5V 延續上述的觀念, 若正弦波的峰值為 V P, 其轉換率失真開始於起始斜率等於 OPA 的轉 換率, 可知最大不失真頻率 max 為 max S 2πV P 運算放大器增益級與輸出級 4

5 運算放大器通常由三個放大器所構成, 並且採用不使用耦合電容與旁路電容的直接交連方式處理, 如下圖所示, 其中第一級使用 Q 3 Q 4 Q 5 三電晶體主動負載差動放大器當做輸入級, 配合使用 Q 9 Q 0 2 Wildlar 電流鏡提供偏壓, 電壓增益範圍在 0 2 ~0 3 之間 ; 第一級的輸出端串接一達靈頓對 (Darlington pair), 此為增益級, 其 Q 7 達靈頓對偏壓電流也是 Q 9 Q 3 Wildlar 電流鏡所提供, 電壓增益範圍在 0 2 ~0 3 之間 ; 第三級 Q 8 4 射極隨耦器當做輸出級, 此級亦可使用推挽式射極隨耦器, 電壓增益接近於 已知 由電路可知 為了能夠, 需要, 意即 輸入阻抗 5

6 其中 將上兩式代入 i 電壓增益 達靈頓對的電壓增益 A = o2 / b6 為 其中 將 i 代入 6

7 輸出阻抗 達靈頓對的 L7 = b8 c 為 另外, 射極隨耦器的輸出阻抗 o 為 舉例說明 : 如下圖所示圖電路,β=00,Q 的 V A =00V, 求達靈頓對的電壓增益 A 已知 I Q = 0.2 ma: 使用 7

8 計算 計算 計算 計算 8

9 輸入電壓 V 2 5 ol 0 如圖電路, 若 OPA 為理想元件, A, 輸出 PP 實際上大約少 3V, 求最大的 根據題意輸出 PP = 27V, V 2 在反相輸入端, 可知負飽和電壓為 -3.5V, 因此最大的 輸入電壓 V 2 為 V μv 如圖的 OPA 電路, 求轉換率 S ΔV = 0.25 V, = 0.μS, 代入 S, Δt S 0.25V = = 2.5 V 0.μs μs 若 OPA 的轉換率 S = 4 V μs, 若正弦波的峰值為 0V, 求最大不失真頻率 max 代入 max S, 2πV P 9

10 4πV μs max = = 200 khz 2π(0 V) 練習 4-. 如圖電路, 若 OPA 為理想元件, A =2 0 電壓 V 2 ol 5, 輸出 PP 實際上大約少 2V, 求最大的輸入 85 V 2. 如圖的 OPA 輸出, 求轉換率 V 2 μs 3. 若 OPA 的轉換率 S V =8, 若正弦波的峰值為 6V, 求最大不失真頻率 μs max max = 22.2 khz 4-2 非反相放大器 閉環路電壓增益 0

11 負回授 (Negatie eedback) 係指放大器的輸出電壓, 以相位相反方式, 部分回傳到輸入端的過程, 這種步驟在電子學中, 是非常重要的觀念, 尤其是針對運算放大器 ; 輸入訊號接在非反相輸入端 +, 回授則自輸出 端經 與 i 送回反相輸入端 -, 如下圖所示的電路稱為非反相放大器 (Noninerting ampliier) 其電壓增益命名為閉環路電壓增益 (Closed-Loop oltage gain), 大小為 A cl(ni) A A ol ol B B 上式 A : 閉環路電壓增益, 下標 NI 代表非反相,A ol : 開環路電壓增益,B: 回授比例, cl(ni) 其值為 B = i /( i + ) 證明 : = A ol ( in - V ) V 將 i i B 代入上式 = A ol in - A ol V = A ol in - A ol B + A ol B = A ol in (+ A ol B) = A ol in in A A ol ol B

12 A cl(ni) A A ol ol B B 由上式明顯得知, 閉環路電壓增益由外加電阻控制, 與 OPA 電路特性無關, 並且只要精確控制電阻, 即可得到穩定的電壓增益 虛短路 理想 OPA 的輸入阻抗無窮大, 因此,+- 兩端可以視為斷路, 意即沒有電流流入, 並且開環路電壓增益無窮大, 導致 + = -, 示意圖如下所示 事實是 +, 卻可以視為宛如短路, 以致於 =, 此種不是真的短路卻可以視為宛如短 路, 稱為虛短路 (Virtual short), 根據虛短路的概念, 非反相放大器的閉環路電壓增益可以快速得知 ; 由 KCL, 可知 -in in - 0 = i i = in ( + )=in i i + A cl(ni) = = = + i in i i 根據上式可知, 非反相放大器的閉環路電壓增益大小由外接電阻決定, 與放大器本身的參數無關 當開環路增益 A=A ol 是有限值時, 2 = in, 代入 = A( 2 - ) = A in - A 2

13 即 = in - /A, 在端點 的位置, 根據 KCL: ( in A i ) 0 ( in A ) 0 化簡 i in i A in A 0 in ( i ) ( A i ) A ( i A ) A 已知 cl(ni) in, 上式改寫為 A cl(ni) i A i 由上式可知, 當 A cl(ni) i 電壓隨耦器 3

14 電壓隨耦器 (Voltage ollower, 簡稱 VF) 電路如下圖右所示, 下圖左電路則顯示非反相放大器演變為電壓隨耦器時外接電阻的設定, 其中令 i = ( 斷路 ), = 0( 短路 ) 換研之, 這是非反相放大器特例, 可見回授比例與電壓隨耦的閉環路電壓增益分別為 B =, A cl(ni) = 這樣的結果如同 CC 類放大器一般, 意即電壓隨耦器有高輸入阻抗與低輸出阻抗的特性, 是極佳的緩衝器 如圖電路, 若開環路電壓增益 A ol 5 =0, 求閉環路電壓增益 in 從 + 端送入, 判斷是非反相放大器, 回授比例 或代入 A cl(ni) = + B i 4

15 另一種解法 : 使用虛短路觀念與 KCL( 參考下圖 ) in 0 5kΩ in 00 kω 0, in 0 in in in 0, 2 in A cl(ni) in 2 如圖電路, 若開環路電壓增益 A ol 5 =0, 求閉環路電壓增益 in 從 + 端送入, 判斷是非反相放大器, 回授比例 另一種解法 : 使用虛短路觀念 ( 參考下圖 ) 5

16 in, A cl(ni) in 練習 4-2. 如圖電路, 若開環路電壓增益 A ol 5 =0, 求 0 mv A 2. 如圖電路, 若開環路電壓增益 ol 5 =0, 求 0 mv 4-3 反相放大器 反相放大器 (Inerting ampliier) 的輸入訊號接在反相輸入端, 回授則自輸出 端經 與 i 送回反相輸入端,+ 端接地, 如下圖所示 6

17 其電壓增益同樣命名為閉環路電壓增益 (Closed-Loop oltage gain), 大小為 上式 A cl(i) : 反相放大器閉環路電壓增益, 下標 I 代表反相 證明 : 理想 OPA 放大器,Z in 無窮大, 意謂流經的電流也是零, 因為 + 端接地是 0V, 使得非 反相與反相輸入端之間的壓降為 0V, 此現象稱為虛接地 (Virtual ground) 根據 KCL: 流進節點的電流代數和等於零 I I 0, in in i A cl(i) in 由以上結果可知, 反相放大器的閉環路電壓增益同樣只跟 i 與 有關, 與放大器本身的參數無關 i 7

18 當開環路增益 A=A ol 是有限值時, 2 = 0, 代入 即 在端點 的位置, 根據 KCL: 化簡 已知, 上式改寫為 8

19 由上式可知, 當 具有 T 型網路之反相放大器 A cl(i) 如下圖所示具有 T 型網路的 OPA 反相放大器, 比照前述分析方式求解其閉環路電壓增益 配合虛接地與虛短路的概念於電路中標示各參考電流與電壓, 如下圖所示 i in i x, x 2 in 根據 KCL, 電壓 x 的節點滿足 i 2 +i 4 =i 3, 即 9

20 0 x 0 x x x ( ) 3 將 x 2 in 代入上式 2 in ( ) 3 由此可得閉環路電壓增益 A cl(i) 為 A cl(i) 2 ( ) 當 3 斷路 open, 4 短路 short 時, 電路變為反相放大器, 上式轉換為 當 = 2 = 3 = 4, 此時電路電壓增益為 為什麼要使用 T 型網路, 理由如下 : 假設 =50kΩ, 2 = 3 =400kΩ, 3 =40kΩ, 此條件下具有 T 型網路 OPA 反相放大器的閉環路電壓增益 A cl(i) 為 -96 倍, 同樣的電壓增益倍數, 若不使用 T 型網路, 回授電阻 2 必須等於 96 50kΩ=4.8MΩ, 意即必須使用很大數值的電阻, 兩相比較, 顯見具有 T 型網路的 OPA 反相放大器, 只要使用合理大小的電阻即可達到所需的放大倍數 如圖電路, 若開環路電壓增益 A ol 5 =0, 求閉環路電壓增益 20

21 in 從負端送入, 判斷是反相放大器 另一種解法 : 使用虛接地觀念與 KCL( 參考下圖 ) in 0 kω 0 00 kω in, 00 A cl(i) in 00 差動放大器 如下圖所示的電路, 稱為使用 OPA 的差動放大器, 因為當電阻條件符合 2 / = 4 / 3 時, 輸出電壓 可以表示成兩輸入電壓差 ( 2 - ) 乘上放大倍數, 此放大倍數就是所謂的差動電壓增益 A d, 其值等於 2 / 2

22 證明 : 使用重疊原理,(a) 當 單獨存在時, 電路為反相放大器 根據本節的內容得知輸出電壓為 (b) 當 2 單獨存在時, 電路為非反相放大器 根據上一節的內容得知輸出電壓為 合成 (a)(b) 結果 代入 等式 22

23 即差動電壓增益 上述差動放大器的輸入阻抗, 參考如下圖所示的電路即可快速求出 假設 = 3, 2 = 4, 因為虛擬短路 (Virtual short), 由 KVL 可知 如圖電路, 求 (a) (b) 輸入電阻 23

24 (a) 使用 6kΩ kω (3 ) 2 V 直接代入公式, 固然方便, 但不鼓勵這樣處理問題, 可以比照重疊原理嘗試求解 ; 當 V 單獨存在時 =V (-6kΩ/kΩ)=-6V 當 3V 單獨存在時, 先求出 V+ 處的輸入電壓為 V+=3V 6kΩ/(+6)kΩ=8/7V 2 =8/7V (+6kΩ/kΩ)=8V 綜合兩輸出電壓可得 = + 2 =-6+8=2V (b) 使用 in = 2 練習 4-3. 如圖電路, 若閉環路電壓增益 Acl(I) 8, 求 8 kω 2. 如圖電路, 求閉環路電壓增益 24

25 (a)-3 (b)-8 3. 如圖電路, 若輸入電阻為 0kΩ, 電壓增益為 00, 求 (a) = 3 =? (b) 3 = 4 =? (a)5kω (b)500kω 4-4 加法器 分析 如圖所示為二個輸入之反相加法器 (Summing ampliier) 根據虛接地的觀念, 流進反相輸入端的電流 I +I 2 等於 I, 如下圖所示 即輸出 可以表示成 25

26 in in 當然, 雙輸入的情況可以類推至 N 個輸入 ( in in 2) 2 ( in 2 in 2... N inn ) 假如 = 2 = = N =, 上式簡化為 ( in in 2... inn ) 假如 =, 上式簡化為 ( in in 2... inn ) 換言之, 由不同電阻值可以決定不同輸入電壓的代數和比例 如圖電路, 求輸出電壓 注意反相輸入的特性 如圖電路, 求輸出電壓 26

27 注意反相輸入 0 k 0 k ( 2) 20 V k 2k 雖然 = -20V, 但是 (max) = 5V, 因此 = -5V 練習 4-4. 如圖電路, 若 OPA 的最大輸出電壓為 5V, 求 -3V 2. 如圖電路, 若 OPA 的最大輸出電壓為 5V, 求 -2.5V 4-5 積分器 27

28 分析 積分器 (Integrator) 電路如下圖所示, 其中有很明顯的 C 組態電路, 但是電阻在輸入端 電容充電電荷與電壓關係為 I C dt Q C V C, 由於虛接地緣故 V C C I C dt C in 0 dt C in dt 因此輸出電壓 等於 上式表示輸出電壓 等於輸入電壓 in 的積分除以時間常數 C 乘積, 並且相位差 80 度, 因此稱為積分器 ; 若考慮輸出電壓 時間等於 0 的起始值, 上示改寫為 此種電路可以改變輸入電壓的波形, 例如積分器電路與輸入電壓波形如下所示, 當輸入為方波, 峰值 2V, 頻率 500Hz, 輸出就是正半週起始的三角波, 其數值計算過程如下. C= = 0-4,/C = t ms: 代入 4 t 0 ( 2) dt t 28

29 代入 t = ms, = 20V, 但是運算放大器有飽和電壓的限制, 假設其飽和電壓為 0V, 意即 最多等於 0V, 此值所對應時間為 0 = 20000t t = 0.5ms 3. ms t 2ms: 同步驟 2 計算, 此時起始值為 0V 4 t 0 (2) dt (t ) 0 代入 t = 2ms, = -0V 4. 2ms t 3ms: 同步驟 3 計算, 此時起始值為 -0V 代入 t = 3ms, = 0V 重複上述步驟, 可得如下所示的輸出電壓波形 4 t 0 ( 2) dt (t 2) 0 2 上圖為 Pspice 模擬的結果, 雖然相較於理論值有些許差異, 卻已經可以清楚看到所謂積分器積分的運算效果 通常, 積分器的電容會並聯高數值電阻, 做為低頻失真補償, 如下圖所示 當低頻時, 電容阻抗 Z C = /(jωc) 很大, 與電阻並聯 P 結果, 可以忽略電容阻抗的作用, 因此電路轉變為反相放大器 ; 當中高頻時, 電容阻抗 Z C = /(jωc) 隨著頻率增加而遞減, 與電阻 P 並聯結果, 可以忽略電阻的作用, 因此電路為積分器 如圖電路, 輸入電壓 in 為方波, 求 輸出波形 29

30 應用公式 C in dt, 計算方波負半週的,C= = 0-3,/C =0 3 m ( 0 m) 0 V 上式中 0V 為輸出三角波的峰對峰值 ; 同理, 方波正半週的, = -0V, 綜合以上, 可知輸出波形為 若輸入電壓 in 的頻率為 00Hz, 輸出波形為 30

31 若輸入電壓 in 的頻率為 2.5kHz, 輸出波形為 顯見輸出電壓 波形相關於輸入電壓 in 的頻率 如圖所示的電路,V C (0)=0, 求 輸出波形 使用 當 t = ms, 輸出波形, 如下圖所示 3

32 如圖所示的電路,V C (0)=0, 求 輸出波形 充電電流 I 當 t =, 電容充電最大電壓為 充電方程式為 其中, 當 t = ms 32

33 輸出波形, 如下圖所示 練習 4-5. 如圖電路, 若 in 為方波, 週期 2ms, 求 波形 2. 如圖電路, 若 in 為三角波, 則 為 方波 33

34 4-6 微分器 微分器 (Dierentiator) 電路如下圖左所示, 其中同樣有很明顯的 C 組態電路, 但是電容在輸入端 電容充電電荷與電壓關係為 I C dt Q C V C, 由於虛接地緣故, 如上圖右所示 I C I C dv dt C C d dt in 因此輸出電壓 等於 I d C dt 上式表示輸出電壓 等於輸入電壓 in 的微分乘上時間常數 C, 並且相位差 80 度, 因此稱為微分器 ; 此種電路可以改變輸入電壓的波形, 例如下圖所示的微分器電路, 當輸入為三角波, 峰值 0V, 頻率 500Hz, 輸出就是方波 in 上圖為 Pspice 模擬的結果, 數值很接近理論值, 同時也可以清楚看到所謂微分器微分的運算效果, 恰好是積分器積分運算的相反 34

35 通常, 微分器的電容會串聯低數值電阻, 做為高頻雜訊補償, 如下圖所示 當低頻時, 電容阻抗 Z C = /(jωc) 很大, 與電阻串聯 S 結果, 可以忽略電阻的作用, 因此電路仍然是微分器 ; 當中高頻時, 電容阻抗 Z C = /(jωc) 隨著頻率增加而遞減, 與電阻 S 串聯結果, 可以忽略電容的作用, 因此電路為反相放大器 如圖電路, 輸入 in 為三角波, 求 輸出波形 應用公式 C d dt in, 計算三角波正斜率的,C = = 0-3 = - (0-3 ) (0 4 ) = -0 V 同理, 三角波負斜率的 = 0 V, 綜合以上結果, 可知輸出波形如下所示 練習

36 . 如圖電路, 輸入 in 為三角波, 求 輸出波形 4-7 儀表放大器 如下圖所示的差動放大器, 其動作原理已經在 5-3 章節中介紹過 現在快速回顧 : 使用重疊原理, 即可求出輸出電壓 in 單獨存在 ( in2 短路, 參考如下圖所示的電路 ): 此時為反相放大器, 因此可知輸出電壓 為 3 in in2 單獨存在 ( in 短路, 參考如下圖所示的電路 ): 此時為非反相放大器, 因此可知輸出電壓 為 36

37 3 3 ( ) ( )( 4 2 in 2) 2 4 綜合以上結果, 假設 = 2 =, 3 = 4 =, 可得輸出電壓 為 in, 2 in 2 2 ( in 2 in ) 由上式可知, 輸出電壓 等於兩輸入電壓的差再放大 / 倍, 故稱為差動放大器 ; 若此電路的電阻皆相同, 則放大倍數等於, 意即為單一增益的差動放大器 儀表放大器 (Instrumentation ampliier) 電路如下圖所示, 其中非反相放大器組態的 OPA 與 OPA 2 提供高輸入阻抗與電壓增益, 差動放大器組態的 OPA 3 則是提供單一增益 (Unity-gain) 首先求 : 參考下圖左電路, 因為有兩個輸入, 所以使用重疊原理求解. in 單獨存在 : 參考下圖中電路, 因為 OPA 2 虛接地, 可知電阻 G 下端接地, in 從正端 + 送入, 此為非反相放大器特性 37

38 2. in2 單獨存在 : 參考下圖右電路, 因為 OPA 2 虛短路, 可知電阻 G 下端接 in2, 並且從負端 - 送入, 此為反相放大器特性 綜上分析, 可得 輸出電壓為 同理, 2 輸出電壓為 若設定 = 2 =, 可知 2 ( 2 )( in 2 in ) 最右邊 OPA3 兼具反相與非反相輸入型態, 反相部分閉環路增益為 - 3 /, 非反相部分閉環路增益為 + 3 /, 令 3 / = 4 / 2, 直接代入差動放大器結果, 可得閉環路增益為 G A cl 3 ( 2 G ) 4 2 ( 2 G ) 若設定 = 2 = 3 = 4, 上式簡化為 A cl ( 2 G ) 如圖電路, 求其閉環路增益 A cl 38

39 A 已知 : = 5kΩ, G = 500Ω, 代入數學式 cl ( 2 G ) 得 另外一種處理方式 : 電路中電阻 G 可以切割成兩等值電阻, 或者切割成兩等值電阻後中間再接地, 其閉環路增益皆相同 ( 此部分的證明請自行練習 ) 由上述討論可知儀表放大器的閉環路增益為 即輸出電壓為 =2(3-)=42 V 另一種處理方法 39

40 () V 電壓源單獨存在 : 此為非反相放大器 =V(+ )= V 3V 電壓源單獨存在 : 此為反相放大器 =-3V( )=-30 V 綜合以上結果 =-30=-9 V (2) 3V 電壓源單獨存在 : 此為非反相放大器 40

41 2 =3V( )=33 V V 電壓源單獨存在 : 此為反相放大器 2 =-V( )=-0 V 綜合以上結果 2 =33-0=23 V 4

42 使用重疊原理 =(-9V)(- )+(23V)( )(+ )=9+23=42 V 練習 4-7. 如圖電路, 求 -0V 2. 如圖電路, 求 -V 4-8 習題. 如圖電路, 求閉環路電壓增益 42

43 2. 如圖電路, 求閉環路電壓增益 3. 如圖電路, 求 4. 如圖電路, 求 5. 如圖電路, 求 6. 如圖電路, 求 43

44 7. 如圖電路, 求 8. 如圖電路, 求 9. 如圖電路, 求 44

45 . 33sin(t) mv ( 根據虛短路 ) By KCL: =0, 30sin(t)+3sin(t)- =33sin(t) mv sin(t) mv ( 根據虛接地 ) By KCL: =0, -30sin(t)+0- =-30sin(t) mv 3. V 使用重疊原理 () V 電壓源單獨存在 : 此時為反相放大器 45

46 =-(2kΩ/kΩ)(V)=-2V (2) 2V 電壓源單獨存在 : 此時為非反相放大器 =(+ )V + =(+2)(2V )=3V 4. -7V 綜合以上結果 =-2+3= V, V 即 46

47 , 根據 KCL, 電壓 x 的節點滿足 i 2 +i 4 =i 3, 即 0.5 ma+0.25 ma = 0.75 ma = i 3 6=, 5. -4V, 0.5 V 即, 根據 KCL, 電壓 x 的節點滿足 i 2 +i 4 =i 3, 即 0.5 ma+0.5 ma = ma = i 3 V i =, 47

48 V 此為反相放大器 =-(6/ 00mV+6/2 200mV+6/3 300mV)=-.8 V 7. 3 V 二級皆為反相放大器 =-(/ V+/ 2V)=-3 V, =-(/ -3V)=3 V 8. V 此為非反相加法放大器 () 4V 電壓源單獨存在 : =4V ( ) (+60kΩ/20kΩ) =4V ( ) (+3)=4 V 48

49 (2) -5V 電壓源單獨存在 : 2 =-5V ( ) (+60kΩ/20kΩ)=-5 V (3) 2V 電壓源單獨存在 : 3 =2V ( ) (+3)=2 V 綜合以上結果 =4-5+2= V 9. 6 V () V 電壓源單獨存在 : 此為非反相放大器 =V(+ )=2 V 3V 電壓源單獨存在 : 此為反相放大器 49

50 =-3V( )=-3 V 綜合以上結果 (2) 3V 電壓源單獨存在 : 此為非反相放大器 =2-3=- V 2 =3V( )=6 V V 電壓源單獨存在 : 此為反相放大器 2 =-V( )=- V 綜合以上結果 50

51 2 =6-=5 V 使用重疊原理 =(-V)(- )+(5V)( )(+ )=+5=6 V 5

Microsoft PowerPoint - Chapter 9_961.ppt

Microsoft PowerPoint - Chapter 9_961.ppt perational Amplifier p-amp 小訊號電路符號 以訊號觀點, 具兩個輸入一個輸出 需要直流電壓使電晶體偏壓在主動區 大部分 op-amp 偏壓使用正及負電壓供應 一般 op-amp 由二三十顆電晶體所組成 典型 C op-amp 具有接近理想特性之參數 所以可視為一 單純 之電子元件 本章發展理想的 op-amp 參數及 op-amp 電路之分析設計 本章假設 op-amp 為理想的

More information

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09

本章綱要 -1 節點電壓法 -2 迴路電流法 -3 重疊定理 - 戴維寧定理 -5 諾頓定理 -6 戴維寧與諾頓等效電路之轉換 -7 最大功率轉移定理 Chapter 直流網路分析 0626-0.indd 125 2009/11/10 下午 0:58:09 ELECTRICITY ELECTRICITY BASIC BASIC 本章學習目標 1. 利用節點電壓法分析各支路的電流 2. 利用迴路電流法分析各迴路的電流 3. 瞭解重疊定理在多電源電路的應用. 利用戴維寧與諾頓定理化簡電路 5. 瞭解戴維寧與諾頓等效電路的轉換 6. 學習負載如何在電路中獲得最大的功率轉移 0626-0.indd 12 2009/11/10 下午 0:58:02 本章綱要 -1

More information

類比電子之積體電路時代的樂高積木-OPA.doc

類比電子之積體電路時代的樂高積木-OPA.doc 篇名 類比電子之積體電路時代的樂高積木 -OPA 作者 呂依芳 國立澎湖海事水產高職 電信二甲 歐陽琳 國立澎湖海事水產高職 電信二甲 1 壹 前言 OPA 是在電子學的教學裡, 最為重要的課程之ㄧ, 也是實習及操作的重點, 有關任何電子的考試 OPA 是必定的題目, 所以我們不只要會運算外, 也要了解其特性, 並實際操作 OPA 的特質, 利用 OPA 製作各種電路, 來發揮不同的效果及作用, 才能更了解

More information

ICTQ Question 6

ICTQ Question 6 電子學有效教學示範 ( 電晶體小訊號分析 ) 主講人 : 古紹楷指導教授 : 戴建耘 Psntaton By Tzu-W Chn 1 Dpatmnt of Industal duaton Natonal Tawan Nomal Unvsty 重點闗鍵詞 : 小訊號分析 英文闗鍵詞 :small sgnal analyss 教學重點 : 1. 學生能了解電晶體交流等效電路 2. 學生能理解小訊號分析步驟

More information

電子學(全)大會考題庫

電子學(全)大會考題庫 電子學大會考 1 100 學年度電子學 ( 全 ) 大會考題庫 一 請寫出下列英文專有名詞之中文名稱 (1) doping (2) semiconductor (3) diode (4) insulator (5) barrier potential (6) bias (7) Filter (8) rectifier (9) DC power supply (10) ripple (11) Gain

More information

Q expert-完成出卷

Q expert-完成出卷 1. ( ) 下列敘述何者錯誤? 104-1 高二電子學 H5 電晶體直流偏壓電路 (A) 電晶體的工作點又稱為 Q 點 () 更換不同 β 值的電晶體, 會影響電路的工作點 () 電晶 體欲用在線性放大電路時, 須工作於飽和區 (D) 線性放大器常用來做小信號放大 2. ( A ) 電晶體當放大器使用時, 須工作於 (A) 作用區 () 飽和區 () 截止區 (D) 以上皆非 3. ( ) 電晶體當放大器使用時,

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

MergedFile

MergedFile 106 年公務人員特種考試警察人員 一般警察人員考試及 106 年特種考試交通事業鐵路人員 退除役軍人轉任公務人員考試試題 頁次 :101 考試別 : 鐵路人員考試等別 : 佐級考試類科別 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 共 40 題, 每題 2.5 分, 須用 2B 鉛筆在試卡上依題號清楚劃記,

More information

Microsoft Word - 741小論文0.doc

Microsoft Word - 741小論文0.doc 篇名 作者 李靖群 高雄縣中山工商 綜合高中 二年六班 壹 前言 物理量的感測在一般應用中, 經常使用各類感測器將位移 角度 壓力 與流量等物理量轉換為電流或電壓訊號, 之後再藉由量測此電壓電流訊號間接推算出物理量變化, 藉以達成感測 控制的目的 但有時感測器所輸出的電壓電流訊號可能非常微小, 以致訊號處理時難以察覺其間的變化, 故需要以放大器進行訊號放大以順利測得電流電壓訊號, 而放大器所能達成的工作不僅是放大訊號而已,

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

電晶體放大電路

電晶體放大電路 電晶體偏壓電路及共射極放大電路 一 實習目的 () 了解電晶體偏壓電路和工作點與穩定度等觀念 (2) 熟悉電晶體各種偏壓的電路 (3) 了解共射極電晶體基本放大電路 (4) 能量測共射極電晶體基本放大電路的交流參數 二 使用材料 零件名稱 零件值 數量 KΩ 2 2 KΩ 2.2 KΩ 3.3 KΩ 4.7 KΩ 電阻 0 KΩ 2 5 KΩ 22 KΩ 47 KΩ 68 KΩ 00 KΩ 2 可變電阻

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Book1

Book1 經 辦 網 點 名 稱 網 點 位 址 第 一 支 行 營 業 室 廣 東 省 廣 州 市 越 秀 區 沿 江 中 路 193 號 第 二 支 行 營 業 室 廣 東 省 廣 州 市 沿 江 西 路 145 號 吉 祥 支 行 廣 東 省 廣 州 市 東 風 中 路 313 號 荔 灣 支 行 營 業 室 廣 東 省 廣 州 市 荔 灣 區 南 岸 路 63 號 三 樓 北 京 路 支 行 營 業

More information

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電

第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 第 6 章 基本雙極電晶體放大器 數值 將小訊號混成 p 等效電路應用在多種雙極電晶體放大器電路 以 得到時變電路的特性 了解共射極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解射極隨耦器的小訊號電壓及電流增益 以及輸入與輸出電 阻 了解共基極放大器的小訊號電壓及電流增益 以及輸入與輸出電 阻 在多級放大器電路的分析中 應用雙極電晶體的小訊號等效電 路 復習問題 1. 將負載線重疊至電晶體的特性曲線上

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

電晶體放大電路

電晶體放大電路 一 實習目的 訊號產生電路 1. 了解方波 三角波及鋸齒波產生電路之基本原理與應用 2. 以運算放大器為主動元件, 分析及設計各種非正弦波產生器電路 二 使用材料 實習一 方波產生器項目 編號 名稱 數量 5k 1 電阻 10k 2 20k 1 30k 1 電容 0.1μ 1 0.01μ 1 二極體 D ( 小功率整流用 ) 2 運算放大器 A 741 1 A 741 放大器輸出入腳位圖 實驗二 三角波產生器

More information

Microsoft Word - 電晶體放大器.doc

Microsoft Word - 電晶體放大器.doc 電晶體放大器一 目的 : 了解電晶體放大器的工作原理, 並測量電晶體的一些參數 二 原理 : ( 一 ) 電晶體放大器的偏壓 : 在前面的實驗中我們分析過電晶體的放大作用, 並且估計過如圖 l 電路的電流放大率和電壓放大率 在作估計時, 我們一直假設這個電路工作在線性區域裡, 使 o, 因此輸出電壓 o 是輸入電壓的翻版, 只是振幅變大而已 為了使電路工作在線性區域, 至少要時時保持電晶體在 暢通

More information

4

4 練習 9A ( 9. 特殊角的三角比 T ( 在本練習中, 不得使用計算機 如有需要, 答案以根式或分數表示. 試完成下表 三角比 θ 0 4 60 sin θ cos θ tan θ 求下列各數式的值 (. cos 60. sin 4 4. tan 4. cos0 4 tan 0 7. sin 4 cos 4 8. cos 60 tan 4 9. tan 60sin 0 0. sin 60 cos

More information

<4D F736F F D20B971BEF7B971A46CB873B971A46CC3FEB14DA440B8D5C344>

<4D F736F F D20B971BEF7B971A46CB873B971A46CC3FEB14DA440B8D5C344> 第一部份 : 基本電學 1. 某一 2 馬力發電機輸入電壓有效值為 110 V, 若其效率為 85%, 則其輸入電流有效值約為多少? (A) 1 A (B) 14 A (C) 15 A (D) 16 A 2. 如圖 ( 一 a) 所示電路, 圖 ( 一 b)( 一 c) 為同材質兩導體電阻 R 1 R 2 之結構圖, 求電路電流 I 為多少? 圖 ( 一 a) 圖 ( 一 b) 圖 ( 一 c) (A)

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25

ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25 ated Current( 耐電流 ): 1-3A( 安培 ) EQUIVALENT CICUIT DIAGAM 等效電路圖 Electrical Characteristics 電氣特性 Impedance 阻抗 DC esistance ated Current Part Number Ω±25% 歐姆直流電阻耐電流產品料號 @ 1MHz Ω (Max.) 歐姆 ma (Max.) 15 系列

More information

臺北捷運公司 103 年 2 月 22 日新進助理工程員 ( 電機類 ) 甄試試題 - 電機概論 選擇題 : 每題 2 分, 共 50 題, 計 100 分 請務必填寫姓名 :. 應考編號 : 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 (1) 20 Ω (2) 12

臺北捷運公司 103 年 2 月 22 日新進助理工程員 ( 電機類 ) 甄試試題 - 電機概論 選擇題 : 每題 2 分, 共 50 題, 計 100 分 請務必填寫姓名 :. 應考編號 : 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 (1) 20 Ω (2) 12 選擇題 : 每題 分, 共 50 題, 計 00 分 請務必填寫姓名 :. 應考編號 :.. 三個電阻分別為 4 Ω 8 Ω 及 8 Ω, 串聯的總電阻為 () 0 Ω () Ω (3) 6 Ω Ω. 4 兩個電容分別為 3 μf 及 6 μf, 串聯的總電容為 () 8 μf () 9 μf (3) 6 μf μf 3. 3 圖 中, 若 V 為 0 V, 則 V 為 () 80 V () 60

More information

Microsoft Word - D710-CH10_6-9_.doc

Microsoft Word - D710-CH10_6-9_.doc 第 0 章運算放大器 (OPA) 0-77 0-6 積分器 反相積分電路 ( 米勒積分器 ) t. Vo() t = Vi() t dt VC() t C + t 其中 V C (t) 為 t=t 時的電容電壓. Vo() t = Vi() t dt C ( 適用於 sin 與 cos 輸入 ) 3. 輸入訊號的週期 (T) 與 C 的關係為 C 0T, 0 即輸入信號的頻率 f i C 註 積分公式背忘錄

More information

100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 代號 :3506 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分,

100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 代號 :3506 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分, 100 年公務人員特種考試原住民族考試試題 等別 : 五等考試類科 : 電子工程科目 : 電子學大意考試時間 : 1 小時座號 : 頁次 :8-1 注意 : 本試題為單一選擇題, 請選出一個正確或最適當的答案, 複選作答者, 該題不予計分 本科目共 40 題, 每題 2.5 分, 須用 2B 鉛筆在試卡上依題號清楚劃記, 於本試題上作答者, 不予計分 可以使用電子計算器 1 N 通道增強型 (Enhancement

More information

Microsoft Word new.doc

Microsoft Word new.doc 運算放大器 運算放大器 (operational amplifier, 簡稱 OPAMP) 可說是類比電路中用途最廣 功能最多的一種 IC 運算放大器的種類繁多, 一顆 IC 中通常包含數十個電晶體, 例如常見的 74C 運算放大器由 4 個電晶體所構成 雖然 OPAMP 的內部構造相當複雜, 但是就其輸出與輸入的關係來看卻十分簡單, 因此, 只要注意到 OPAMP 的一些限制, 分析或設計 OPAMP

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

高频电疗法

高频电疗法 高 频 电 疗 法 高 频 电 疗 法 频 率 大 于 100kHz 的 交 流 电 属 于 高 频 电 流 应 用 高 频 电 流 作 用 于 人 体 以 治 疗 疾 病 的 方 法, 称 高 频 电 疗 法 ( high frequency electrotherapy ) 高 频 电 疗 法 高 频 电 疗 的 作 用 方 式 有 5 种 共 鸣 火 花 放 电 法 直 接 接 触 法 电 容

More information

行動醫師 - 可攜式低成本之生物阻抗監測系統 投稿類別 : 工程技術類 篇名 : 行動醫師 - 可攜式低成本之生物阻抗監測系統 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1

行動醫師 - 可攜式低成本之生物阻抗監測系統 投稿類別 : 工程技術類 篇名 : 行動醫師 - 可攜式低成本之生物阻抗監測系統 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1 投稿類別 : 工程技術類 篇名 : 作者 : 吳怡潁 市立北一女中 高二良班 張宇晴 市立北一女中 高二良班 指導老師 : 陳正源老師 1 壹 前言 本研究的目的為量測生理電阻訊號, 它是一種非侵入式測量人類或動物的血流量或是體組成物的方法 我們自行製作一台振盪器, 利用它來打一個高頻率的電壓進入人體, 通過人體電阻後, 會產生一個電壓信號, 利用放大器將人體內的微小電壓信號放大, 再將信號的最高點取出來,

More information

電機與電子群電機類 電機與電子群資電類專業科目 ( 一 ) 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 ˉ 1. 請核對考

電機與電子群電機類 電機與電子群資電類專業科目 ( 一 ) 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 ˉ 1. 請核對考 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 105 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 公告試題 注意事項 1. 請核對考試科目與報考群 ( 類 ) 別是否相符 2. 請檢查答案卡 ( 卷 ) 座位及准考證三者之號碼是否完全相同, 如有不 符, 請監試人員查明處理 3. 本試卷分兩部份,

More information

ch05

ch05 物理系光電組 二年級電 子學 單元七 BJT 電晶體 授課 老師 : 輔仁 大學物理系副教授張敏娟 2015 spring 1 物理系光電組 二年級電 子學 單元七 BJT 電晶體 BJT 電晶體 基本原理 1 BJT 電晶體把 N 型和 P 型半導體, 再組合, 變成電晶體 BJT 電晶體 是一個把訊號放大的元件 3 BJT 電晶體 三端元件 4 BJT 有兩種組成 NPN 型 PNP 型 5 N

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

ch-07.tpf

ch-07.tpf 第 7 章 電晶體的發明, 造就了今日的電子工業, 由此可見電晶體的重要性 本章除了針對電晶體的結構 符號與各項特性做說明之外, 也對於電晶體幾個常用的放大電路, 作詳細的分析 期待學完本章之後, 對電晶體能有一個完整又清晰的概念 本章實習時數 : 12 小時 7-1 電晶體的結構與符號 認識電晶體的結構與符號 瞭解電晶體的基本特性 瞭解電晶體的工作特性 能正確使用電晶體完成電路功能 培養電晶體電路測試與檢修能力

More information

9301reply-n

9301reply-n 6 4 () 40 (A) (B) (C) (D) 1. 4 () 2.(C) (1) 4.. 40 40/10=4 () (2) 10 (C) 3. (A) ( 1-1 ) (C) 13 () P (A) (B) (C) (D) (B) ( ) (B) P (C) (B) (1) (2) P (B) (C) (B) (C) (B) 14 (A) (B) (C) (D) (B) (D) (B)(D)

More information

第一部份 : 電子學 ( 第 1 至 25 題, 每題 2 分, 共 50 分 ) 1. 兩電壓 v1 ( t) 8cos(20 t 13 ) 及 v2( t) 4sin(20 t 45 ), 則兩電壓之相位差為多少度? (A) 58 (B) 45 (C) 32 (D) 下列有關半導體之

第一部份 : 電子學 ( 第 1 至 25 題, 每題 2 分, 共 50 分 ) 1. 兩電壓 v1 ( t) 8cos(20 t 13 ) 及 v2( t) 4sin(20 t 45 ), 則兩電壓之相位差為多少度? (A) 58 (B) 45 (C) 32 (D) 下列有關半導體之 注意 : 考試開始鈴 ( 鐘 ) 響前, 不可以翻閱試題本 104 學年度科技校院四年制與專科學校二年制統一入學測驗試題本電機與電子群電機類電機與電子群資電類 專業科目 ( 一 ): 電子學 基本電學 注意事項 1. 請核對考試科目與報考群 ( 類 ) 別是否相符 僅供參考 2. 請檢查答案卡 ( 卷 ) 座位及准考證三者之號碼是否完全相同, 如有不 符, 請監試人員查明處理 3. 本試卷分兩部份,

More information

PowerPoint Presentation

PowerPoint Presentation 實驗一報告 報告繳交期限 : 10 月 9 日 ( 二 )11:00 Report content ( 報告內容 ) 1. Complete three experiment reports ( 三份實驗紀錄表格檔案 ) 2. Complete additional questions 請合併成一個 PDF 檔案寄到助教的信箱 (belab.ntu@gmail.com), 主旨標明組別及實驗序 行事曆

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

侧 侧 侧 侧 侧 侧 滤 爲 侧 2-1 ρ 2-2 舉例說明 相關閥類與配件的阻抗係數 K 可參考圖[2.3]選取 代 入上式即可求得該部分之壓損 圖 2.3 不同閥及裝置的阻抗係數 K (3) 直管 配件 閥件 串聯成一條管線的阻力 壓降或頭損 2-3 2-4 2-5 敍 2-6 侧 2-7 2-8 侧 2-9 2-10 2-11 2-12 2-13 2-14 2-15 2-16

More information

Microsoft Word - 第1章 導論

Microsoft Word - 第1章  導論 第 1 章導論 研習完本章, 將學會 1. 電子元件 ---------------------------------------------01 2. 測量儀器 ---------------------------------------------04 3. 符號與代號 ---------------------------------------------07 4. 電阻 ---------------------------------------------09

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63>

<4D6963726F736F667420576F7264202D203135343030AB4FA5C0A448ADFBA4FEAFC5C0B3C0CBB8EAAEC6B2C4A447B3A1A5F73938303230362E646F63> 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 試 題 編 號 :15400-960401~8 審 定 日 期 :96 年 11 月 30 日 修 訂 日 期 :97 年 1 月 31 日 98 年 0 月 06 日 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 第 二 部 份 壹 保 母 人 員 技

More information

交通部臺灣鐵路管理局 108 年營運人員甄試試題 應試類科 : 營運員 - 電務 / 電務 ( 產學合作 ) 測驗科目 2: 電子學概要 作答注意事項 1 應考人須按編定座位入座, 作答前應先檢查答案卡 測驗入場通知書號碼 桌角號 碼 應試科目是否相符, 如有不同應立即請監試人員處理 使用非本人答案

交通部臺灣鐵路管理局 108 年營運人員甄試試題 應試類科 : 營運員 - 電務 / 電務 ( 產學合作 ) 測驗科目 2: 電子學概要 作答注意事項 1 應考人須按編定座位入座, 作答前應先檢查答案卡 測驗入場通知書號碼 桌角號 碼 應試科目是否相符, 如有不同應立即請監試人員處理 使用非本人答案 交通部臺灣鐵路管理局 108 年營運人員甄試試題 應試類科 : 營運員 - 電務 / 電務 ( 產學合作 ) 測驗科目 2: 電子學概要 作答注意事項 1 應考人須按編定座位入座, 作答前應先檢查答案卡 測驗入場通知書號碼 桌角號 碼 應試科目是否相符, 如有不同應立即請監試人員處理 使用非本人答案卡作答者, 不予計分 2 答案卡須保持清潔完整, 請勿折疊 破壞或塗改測驗入場通知書號碼及條碼, 亦不得書寫應考人姓名

More information

泵站设计规范

泵站设计规范 (m 3 /s) 10 4 kw (1) 200 3 (2) 20050 31 5010 10.1 (1) 102 0.10.01 (2) 2 0.01 1 3 4 2 3 4 3 4 5 4 5 5 5 5 - 1 100 300 2 50 200 3 30 100 4 20 50 5 10 20 (m) 1 2 3 4.5 0.7 0.5 0.4 0.3 0.5 0.4 0.3 0.2 -

More information

準備衝刺觀念正確再接再厲 直流迴路 4 ( B ) 5. 如圖 (4) 所示, 若 I 等於零, 則 R 為多少歐姆? (A)6 (B) (C)2 (D)9 圖 (4) 評語 將電路重畫如右圖所示, 依節點電壓法.. V1 12 V1 V R V1 又 I 0, 故 V1 0 代入 1

準備衝刺觀念正確再接再厲 直流迴路 4 ( B ) 5. 如圖 (4) 所示, 若 I 等於零, 則 R 為多少歐姆? (A)6 (B) (C)2 (D)9 圖 (4) 評語 將電路重畫如右圖所示, 依節點電壓法.. V1 12 V1 V R V1 又 I 0, 故 V1 0 代入 1 計算錯誤加強複習上課專心訂正錯誤 基本電學 I 習作本 評語 04 直流迴路 字體潦草4-1 ( B ) 1. 使用節點電壓法分析電路的第一步驟為何? (A) 假設每一網目的電流方向 (B) 假設參考點 ( 或稱接地點 ) (C) 將所有電壓源短路 (D) 將所有電流源斷路 ( A ) 2. 如圖 (1) 所示, 以節點電壓法求電壓 V o 為何? (A)14.4 V (B)24.4 V (C)4.4

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

頭 上 下 舌 齒 三 十 二 相 大 智 度 論 卷 4 ( 大 正 25,90a-91a) (22) 四 十 齒 相 (23) 齒 齊 相 (24) 牙 白 相 (26) 味 中 得 上 味 相 (27) 大 舌 相 八 十 種 好 大 般 若 經 卷 381 ( 大 正 6,968a9-969

頭 上 下 舌 齒 三 十 二 相 大 智 度 論 卷 4 ( 大 正 25,90a-91a) (22) 四 十 齒 相 (23) 齒 齊 相 (24) 牙 白 相 (26) 味 中 得 上 味 相 (27) 大 舌 相 八 十 種 好 大 般 若 經 卷 381 ( 大 正 6,968a9-969 十 住 毘 婆 沙 論 卷 9 念 佛 品 第 20 補 充 講 義 p.1 般 舟 三 昧 一 印 順 導 師 初 期 大 乘 佛 教 之 起 源 與 開 展 第 十 一 章,p.863-p.864: 十 住 毘 婆 沙 論 (20 品 ~25 品 ) 所 說 的 念 佛 三 昧, 是 依 般 舟 三 昧 經 的, 論 卷 12( 大 正 26,86a-b) 說 : 1. 新 發 意 菩 薩, 應

More information

< E6577B0F2C2A6B971B8F42E747066>

< E6577B0F2C2A6B971B8F42E747066> 農業自動化叢書 12 機電整合 第七章 國立嘉義大學生物機電工程學系暨研究所 / 楊朝旺助理教授 第一節 二極體... 123 第二節 雙極性接面電晶體... 124 第三節 閘流體... 126 第四節 運算放大器... 128 第五節 電源供應器... 129 基礎電路 第一節二極體二極體 (Diode) 是一種單向元件, 僅允許電流從一固定方向流過 在本節中, 您將學會順向與逆向偏壓的意義,

More information

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之

第 5.1 節 5 章 雙極接面電晶體 基本雙極接面電晶體 5.1 (a) 偏壓在順向主動模式下之雙極電晶體的基極電流為 ib = 6.0 µa 而集極電流為 ic = 510 µa 求出 β α 及 ie (b) 若 ib = 50 µa 且 重做 (a) 部分 5.2 (a) 某特定種類電晶體之 430 設計電晶體電路之直流偏壓以符合特定直流電流及電壓 並穩定 Q 點使其抵抗電晶體參數之變動 應用直流分析與設計技巧於多級電晶體電路中 復習問題 1. 欲使電晶體偏壓於順向主動模式下 加在 npn 雙極電晶體上所需 之偏壓電壓為何 2. 定義 pnp 雙極電晶體操作在截止 順向主動模式 飽和模式下之 條件 3. 定義共基極電流增益及共射極電流增益 4. 討論交流及直流共射極電流增益之差別 5.

More information

中華民國第 54 屆中小學科學展覽會

中華民國第 54 屆中小學科學展覽會 中 華 民 國 第 54 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 科 別 : 化 學 科 組 別 : 高 中 組 作 品 名 稱 : 吸 金 大 法 膠 體 溶 液 對 重 金 屬 離 子 的 探 討 關 鍵 詞 : 膠 體 溶 液 重 金 屬 離 子 編 號 : 摘 要 本 實 驗 的 目 標 : 比 較 不 同 種 類 及 不 同 的 膠 體 溶 液 與 重 金 屬 離 子 混

More information

Microsoft Word - CDT3913-NSP1.0.doc

Microsoft Word - CDT3913-NSP1.0.doc 產品說明 (General Description) 產品特性 (Features) 有 2 組馬達輸出控制, COS 製程 低壓 低耗電 -- 大馬達 8 段功能 外接振盪電阻 -- 小馬達 8 段功能 靜態電流 < 7μA @= 可按獨立鍵控制速度 : 加速 減速 OFF 操作電壓 :1.8~5.5V 共有 5 KEY 控制鍵 每段速度由外部 LED 顯示段數 -- 大 / 小馬達各有 2KEY

More information

Microsoft Word - GA304-03章頭頁.doc

Microsoft Word - GA304-03章頭頁.doc 電子學 3- 二極體電路 3- 電晶體特性 3-3 電晶體放大器 3-4 振盪與調變波 3-5 運算放大器 3-6 場效應電晶體 3-7 OPA 應用圖例 3- 二極體電路. 本質半導體即純矽半導體的自由載子濃度 n = p = ni, 但當溫度升高時, 由於有更多的電子電洞對被拆開使 n i 上升, 所以當溫度越高時, 本質半導體導電性越強, 反之當溫度降到絕對零度 ( 73 C ) 時, 因無自由載子故成為絕緣體

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (

(A)3 4 (B)5 6 (C)7 9 (D)10 2 (E) (A) (B) (C) (D) (E) ( ) ( ) ( ) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) ( . (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (B) (C) (D) (E) (A) (B) (C) (D) (E).. (E) (A) (B) (C) (D). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E). (A) (B) (C) (D) (E) (A) (C) (D) (E) (A) (B) (C) (D) (E)

More information

06知识单元-集成运算放大电路

06知识单元-集成运算放大电路 6 6 S060B a b c b c v 0 = v 0 = v 0 = v f v0 = v S060G v 0 v S060I V- = V + V N = V P I- =0I + = 0 I = 0 S060N V IO I IO v = 0 vo=0 A od VO = ( V V ) S060B A od I o = I I A od = r d = r od = - 46 - A od

More information

<4D6963726F736F667420576F7264202D20B2C433B3B92020B971B8F4A4C0AA52A7DEA5A9>

<4D6963726F736F667420576F7264202D20B2C433B3B92020B971B8F4A4C0AA52A7DEA5A9> 研 習 完 本 章, 將 學 會 1. 節 點 電 壓 法 --------------------------------------------01 2. 節 點 電 壓 法 之 特 例 -----------------------------------------08 3. 網 目 分 析 法 --------------------------------------------15 4.

More information

(Microsoft Word - 01\277n\306{\271q\250\256.doc)

(Microsoft Word - 01\277n\306{\271q\250\256.doc) 東 野 圭 吾 短 篇 集 1 積 鬱 電 車 這 時 間 的 電 車 內 總 是 這 幅 光 景, 日 復 一 日 剛 過 晚 上 八 點, 這 輛 從 都 心 駛 往 郊 外 的 私 鐵 ( 日 本 民 營 鐵 路 局 ) 快 車 內 頗 擁 擠, 雖 不 至 於 擠 到 無 法 動 彈, 要 攤 開 報 紙 來 看 是 不 太 可 能 的 這 天 是 非 假 日, 乘 客 自 然 多 是 上

More information

01.dvi

01.dvi 物理資優營微積分教材 1 y = f ( ) (, f ( ) ) 點的切線斜率 : =lim f ( + ) f () 若 f () = n,n 為自然數 =lim ( + ) n n 微分的基本性質 : (i) 線性 : 若 a, b 是常數 (ii) 萊布尼茲律 : n n 1 + O ( ) = n n 1 {af ()+bg ()} = a + bg {f () g ()} = g + f

More information

貳線性電路 一個電路若符合線性 (linearity), 則須同時滿足兩個條件 : 一 齊次性 (homogeneous); 二 可加性 (additive) 假設系統的輸入信號為 x(t) 且系統輸出信號為 y(t), 如圖 11 所示, 當輸入信號 x(t) 放大 T 倍而成為 T.x(t),

貳線性電路 一個電路若符合線性 (linearity), 則須同時滿足兩個條件 : 一 齊次性 (homogeneous); 二 可加性 (additive) 假設系統的輸入信號為 x(t) 且系統輸出信號為 y(t), 如圖 11 所示, 當輸入信號 x(t) 放大 T 倍而成為 T.x(t), 第一章 電路基本概念與分析 本章學習重點 1. 本章最主要的目的, 在於說明讀者準備電子電路時所必備的基本電路觀念與線性理論 為了能引導讀者於後續章節中對於電子元件與半導體元件之電路分析所需引用的電路原理能有較清楚的認知, 本章將讓讀者以循序漸進的方式融會貫通本書精要 2. 本章在初等考試與五等特考中最常考的重點包括 :(1) 基本電路特性 ;(2) 電容與電感的基本特性 ;(3) 應用克希荷夫定律來解直流電路

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

. v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20

. v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20 Page 1 of 20 . v dx v d () () l s dl s d (_) d () v s v s () a dv a d (_) ( ) ( ) x- = v- = = v 0 = m/s a = = m/s 2 a- = ( ) x- v- a- Page 2 of 20 (1) x v a (2) x v a x v (3) x v a x v a x v Page 3 of

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

推理證明 本節性質與公式摘要 1 推理與證明 : 1 已知 2 求證 3 證明 2 思路分析與證明 : 3 輔助線 : 四邊形四邊中點連線性質 : 例 ABCD E F G H AC 6 BD 8 EFGH AC BD 14 E A H B F C G D

推理證明 本節性質與公式摘要 1 推理與證明 : 1 已知 2 求證 3 證明 2 思路分析與證明 : 3 輔助線 : 四邊形四邊中點連線性質 : 例 ABCD E F G H AC 6 BD 8 EFGH AC BD 14 E A H B F C G D 40 3-1 推理證明 本節性質與公式摘要 1 推理與證明 : 1 已知 2 求證 3 證明 2 思路分析與證明 : 3 輔助線 : 1 2 4 四邊形四邊中點連線性質 : 例 H 68 H 14 H 41 41 基礎題 1 ab a366b12 2 a 36 證明 10 分 10 分 P131 2 a366b12 2 1 a6b12 2 36 6b1266b126 6b186b6 36b3b1 b3b1

More information

596.doc

596.doc 2005596 4 3 2794 2796 51 2800 51 238 238 1. 238 238 1 2. 3 2 2 6 3. 238 238 4. 238 2 238 1. 4 3 2. 3. 1. 2005107 2. 238 1. 238 2. 3. 1 238 2 238 238 238 1. 0.8mm 1.6mm 10 55 Hz 1Hz /min 95±5 min 24 ±4

More information

Microsoft PowerPoint - SMC #3.ppt

Microsoft PowerPoint - SMC #3.ppt 滑動模式控制 Sliig moe cotrol T. C. Kuo 線性非時變單輸入系統 Liear time-ivariat sigle iput system 動態方程式 (yamics equatio) x & = Ax + Bu 其中 x R, u R, A R, B R 定義誤差 (error) e = x x 其中為追蹤訊號 (esire sigal), x R x 選擇滑動函數 (sliig

More information

<4D6963726F736F667420576F7264202D20313032303431312D2D3135343030AB4FA5C0A448ADFBB3E6A440AFC5C0CBA977B8D5C344B2C4A447B3A1A5F75FB6C25F2E646F63>

<4D6963726F736F667420576F7264202D20313032303431312D2D3135343030AB4FA5C0A448ADFBB3E6A440AFC5C0CBA977B8D5C344B2C4A447B3A1A5F75FB6C25F2E646F63> 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 試 題 編 號 :15400-101401~8 審 定 日 期 :101 年 1 月 03 日 修 訂 日 期 :10 年 0 月 7 日 10 年 04 月 11 日 保 母 人 員 單 一 級 技 術 士 技 能 檢 定 術 科 測 試 應 檢 參 考 資 料 壹 保 母 人 員 技 術 士 技 能

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

      95 年 養 成 班 甄 試

       95 年 養 成 班 甄 試 經濟部所屬事業機構 106 年新進職員甄試試題 類別 : 電機 ( 乙 ) 節次 : 第二節科目 :1. 計算機概論 2. 電子學 注意事項 1. 本試題共 6 頁 ( 含 A3 紙 1 張 A4 紙 1 張 ) 2. 可使用本甄試簡章規定之電子計算器 3. 本試題為單選題共 50 題, 每題 2 分, 共 100 分, 須用 2B 鉛筆在答案卡畫記作答, 於本試題或其他紙張作答者不予計分 4. 請就各題選項中選出最適當者為答案,

More information

(Microsoft Word -

(Microsoft Word - 第一部份 : 基本電學 1. 某手機待機消耗功率為 36 mw, 假設其電池額定規格為.5 /70 mah, 若在理想情況下電池充飽電, 試問最多可待機多少小時? (A) 30 小時 (B) 50 小時 (C) 70 小時 (D) 90 小時. 某直徑為 1.6 mm 單芯線的配線回路, 其線路電壓降為 5%; 若將導線換成相同材質的.0 mm 單芯線後, 則其線路電壓降約為多少? (A) 1.6%

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给

工 序 的 是 ( ) A. 卷 筒 切 筒 装 药 造 粒 B. 搬 运 造 粒 切 引 装 药 C. 造 粒 切 引 包 装 检 验 D. 切 引 包 装 检 验 运 输 7. 甲 公 司 将 其 实 施 工 项 目 发 包 给 乙 公 司, 乙 公 司 将 其 中 部 分 业 务 分 包 给 2013 年 安 全 工 程 师 法 律 知 识 答 案 解 析 一 单 选 择 题 1. 某 省 人 大 常 务 委 员 会 公 布 实 施 了 某 省 安 全 生 产 条 例, 随 后 省 政 府 公 布 实 施 了 某 省 生 产 经 营 单 位 安 全 生 产 主 体 责 任 规 定, 下 列 关 于 两 者 法 律 地 位 和 效 力 的 说 法, 正 确 的 是 ( ) A. 某 省 安

More information

Microsoft Word - 電學基本量測.doc

Microsoft Word - 電學基本量測.doc 電學基本量測一 目的 : 熟悉電學量測的一些基本觀念和一般實驗室常用儀器的操作 二 原理 : ( 一 ) 電源供應器 (power supply) 不論負載如何改變, 輸出電壓仍能維持不變的電源稱為恆壓源, 一般以 C 符號表示 ; 不論負載如何改變, 輸出電流仍能維持不變的電源稱為恆流源, 以符號 CC 或 CCS 表示之一般在實驗室中所使用的直流電源供應器為 恆壓 / 恆流 (C/CC) 供應器

More information

保母人員丙級應檢資料第二部份 doc

保母人員丙級應檢資料第二部份 doc 15400903018 9 09 15 95 01 10 95 11 16 ...-3...4-9... 10...11-1...13-16...17-54... 55...56-64 1 5 3 154-90301154-9030 1 1 3 1 4 60 1 180 L 5 1 6 1 7 1 8 1 9 90 70 1 10 1 11 1 1 1 13 1 14 1 15 1 16 1 17

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

(mg/kg ) / 3 20 25 1 (h) 30 35 40 50 100 1000 1000 1000 1250 2000 60 80 60 80 80 100 15 25 2 4 2 4 3 4 2.5 5 10 20 0.5 1.5 10 80 100 100 150 300 24 72 5 1 2 R l A = + R l A Ku = AC DC (s) AC 50

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

Microsoft PowerPoint - C_Structure.ppt

Microsoft PowerPoint - C_Structure.ppt 結構與其他資料型態 Janet Huang 5-1 結構的宣告 struct 結構名稱 struct 結構名稱變數 1, 變數 2,, 變數 m; struct 結構名稱 變數 1, 變數 2,, 變數 m; student; student; 5-2 1 結構變數初值的設定 struct 結構名稱 struct 結構名稱變數 = 初值 1, 初值 2,, 初值 n student="janet","1350901",100,95

More information

1... . 48 30 14 1000c.c 7.5 60 5 (7.5 ) (22 15 6 ). () 90 11 ~91 3 --- 1 2 3 4 () 91 4 ~91 5 --- 1 1 60 5 2 1 3 18 11 350ml ( ) 2 1 350ml 2 2 1-a 91 4 ~91 5 3 1-b 91 4 ~91 5 4 1-c 91 4 ~91 5 5 1 -- ab

More information

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8±

ated Current( 耐電流 ): 1-3A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 155 (42) 1.±.5.5±.5.5±.5.1~ (63) 1.6±.15.8± ated Current( 耐電流 ): 1-3A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a low DC resistance. Their impedance mainly comprises resistive part. Therefore, when

More information

目次 3 ONTNTS 1 相似形 上 國民中學數學第五冊習作 表示為仿會考或特招題 1-1 比例線段 3 1- 相似多邊形 相似三角形的應用 圓形 -1 點 線 圓 4 - 圓心角 圓周角與弦切角 外心 內心與重心 3-1 推理證明 三角形與多

目次 3 ONTNTS 1 相似形 上 國民中學數學第五冊習作 表示為仿會考或特招題 1-1 比例線段 3 1- 相似多邊形 相似三角形的應用 圓形 -1 點 線 圓 4 - 圓心角 圓周角與弦切角 外心 內心與重心 3-1 推理證明 三角形與多 給同學的話 1.. 內 3. 內 內 目次 3 ONTNTS 1 相似形 上 國民中學數學第五冊習作 表示為仿會考或特招題 1-1 比例線段 3 1- 相似多邊形 8 1-3 相似三角形的應用 13 1 18 圓形 -1 點 線 圓 4 - 圓心角 圓周角與弦切角 9 34 3 外心 內心與重心 3-1 推理證明 40 3- 三角形與多邊形的心 45 3 51 3 1-1 比例線段 本節性質與公式摘要

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

99學年度電機資訊學院-電子與資訊基本能力會考

99學年度電機資訊學院-電子與資訊基本能力會考 104 學年度電機資訊學院 - 電子與資訊基本能力會考 系級 : 系年班學號 : 姓名 : 選擇題, 共 60 題 ( 每題 2 分 ) ( B ) 1. 在矽材料中加入三價雜質, 就會 (A) 降低矽晶體的導電性 (B) 增加電洞的數目 (C) 增 加自由電子的數目 (D) 產生少數載子 ( D ) 2. 在室溫時, 純質半導體中 (A) 沒有自由電子 (B) 沒有電洞 (C) 自由電子比電洞多

More information

1356 微電子學 6. 畫出單極點低通交換電容濾波器電路 7. 描述振盪器之特性 8. 描述並解釋相移振盪器之操作 9. 描述並解釋溫橋振盪器之操作 10. 與相移振盪器相較 Colpitts 或 Hartley 振盪器之優點為何 11. 畫出反相與非反相比較器之電路與特性曲線 12. 畫出基本反

1356 微電子學 6. 畫出單極點低通交換電容濾波器電路 7. 描述振盪器之特性 8. 描述並解釋相移振盪器之操作 9. 描述並解釋溫橋振盪器之操作 10. 與相移振盪器相較 Colpitts 或 Hartley 振盪器之優點為何 11. 畫出反相與非反相比較器之電路與特性曲線 12. 畫出基本反 第 15 章 積體電路的應用與設計 在史密特觸發器之負回授迴路中加入 RC 網路可得方波產生器或 振盪器 此類振盪器稱為不穩振盪器 555 IC 時序器使用兩個比較器在單穩或不穩態下運作 調整外加 電阻和電容值可在大範圍內改變不穩態輸出訊號之頻率 責任週 期及單穩態輸出訊號之脈衝寬度 我們討論三種積體電路功率放大器之例子 LM380 功率放大器為 全 IC 元件之放大器 可輸出 5 W 之交流功率至負載

More information

第一篇文概說第七章公文的用語及標點符號公本篇內容 第一章 緒論 第二章 公文的意義 第三章 公文與高 普 特各類考試 第四章 公文程式之意義及演變 第五章 公文之分類及其行文系統 第六章 公文之結構與行款 第一篇 第一章緒論 003 第一章緒論 等 等 004 最新應用公文 第一篇 第二章公文的意義 005 第二章公文的意義 第一節 一 須為公務員製作之文書 二 須為公務員 職務上 製作之文書 006

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

經濟部所屬事業機構 102 年新進職員甄試試題 類別 : 電機 ( 甲 ) 儀電 通信 科目 :1. 電路學 2. 電子學 注意事項 節次 : 第二節 1. 本試題共 6 頁 ( 含 A3 紙 1 張 A4 紙 1 張 ) 2. 可使用本甄試簡章規定之電子計算器 3. 本試題為單選題共 60 題,

經濟部所屬事業機構 102 年新進職員甄試試題 類別 : 電機 ( 甲 ) 儀電 通信 科目 :1. 電路學 2. 電子學 注意事項 節次 : 第二節 1. 本試題共 6 頁 ( 含 A3 紙 1 張 A4 紙 1 張 ) 2. 可使用本甄試簡章規定之電子計算器 3. 本試題為單選題共 60 題, 經濟部所屬事業機構 0 年新進職員甄試試題 類別 : 電機 ( 甲 ) 儀電 通信 科目 :. 電路學. 電子學 注意事項 節次 : 第二節. 本試題共 6 頁 ( 含 3 紙 張 4 紙 張 ). 可使用本甄試簡章規定之電子計算器 3. 本試題為單選題共 60 題, 前 40 題每題各.5 分 其餘 0 題每題 分, 共 00 分, 須用 B 鉛筆在答案卡畫記作答, 於本試題或其他紙張作答者不予計分

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5) X 2π(0.045) = 6.67 μt B Y = µ 0I = (4π 10 7 )(1.5) Y 2π(0.015) = 20 μt (b) B X = µ 0I = (4π 10 7 )(2) X 2

2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5) X 2π(0.045) = 6.67 μt B Y = µ 0I = (4π 10 7 )(1.5) Y 2π(0.015) = 20 μt (b) B X = µ 0I = (4π 10 7 )(2) X 2 23 (b) 1 (p. 192) 1. (a) F (b) F 2. (a) C C B B B A (b) 2 (p. 196) 1. (a) T (b) F (c) T 2. (a) W 4. 3 (p. 205) 1. A A 2. (a) F (b) T 3. 4. (a) (b) Z 3. (a) 2 23 (b) 4. (a) B X = µ 0I = (4π 10 7 )(1.5)

More information

投稿類別 : 工程技術類 篇名 : 40 C up( 溫度智能感應電風扇 ) 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師

投稿類別 : 工程技術類 篇名 : 40 C up( 溫度智能感應電風扇 ) 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師 投稿類別 : 工程技術類 篇名 : 作者 : 李子輝 國立臺南高級海事水產職業學校 電子科二年級甲班杜品賢 國立臺南高級海事水產職業學校 電子科二年級甲班黃柏翰 國立臺南高級海事水產職業學校 電子科二年級甲班 指導老師 : 林福璋老師高瑞成老師李昌杰老師 壹 前言 一 研究動機 在這個科技日新月異的時代, 各類 3C 產品儼然已經成為生活的必需品, 根 據資策會 (FIND) 統計, 台灣 12 歲以上使用智慧型手機或平板電腦的使用者已達

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126

ated Current( 耐電流 ): >3 A( 安培 ) SIE AND DIMENSION 尺寸及邊長 外電極 鐵氧體 SIE 尺寸 L 長 mm W 寬 mm T 厚 mm a 銀寬 mm 2129 (85) 2.± ± /-.2.2~ (126 ated Current( 耐電流 ): >3 A( 安培 ) INTODUCTION 產品介紹 Multilayer high current chip beads are SMD components that possess a ultra-low DC resistance. Their impedance mainly comprises resistive part. Therefore,

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

Keysight B1500A - (IV) - (CV) B1500A B1500A -

Keysight B1500A - (IV) - (CV) B1500A B1500A - Keysight Technologies / IV CV - B1500A Keysight B1500A - (IV) - (CV) B1500A B1500A - DC AC 1 DC pn (R sh ) (R s ) R s R s R sh R sh Cu (In, Ga)Se 2 ( CIGS) (DSC) AC AC (C p ) (r p ) (r s ) AC C p (C t

More information

1 2 3 1. F 2. F 3. F 4. 12.5g 5. 14.2g 6. 30.6g 7. 8. 50cm 24cm 15cm 9. 10. 11. 12. 13. 14. 15. 16. 17. 4 5 1. 1 2 2. 3. 50cm 24cm 15cm 4. 5. ABCD 1 15 24 50 15 24 50 6 7 8 1. 1 2. 3. 4. 5. AB 2 34 9 7

More information

1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg % 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE

1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg % 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE 1 2 1 V Pro 2 3 1 Pro 2 Fe2+ Fe3+ 3 Vc 4 Vc 5 1-12% 45-64% 4 1 S P Cl Pro 2 K Na Ca Mg K Na Ca Mg 3 1-2 11-14% 1 6%-9% 2 1%-3% 3 70%-80% 4 P Ca Fe Cu Co Zn Se Mn Mo Ni Cr P Ca 5 VB V B1 Vpp V B2 B3 VE

More information

淺談參考電壓(Voltage Reference)

淺談參考電壓(Voltage Reference) 淺談參考電壓 (Voltage Reference) 大多數的類比電路, 都需要參考用的電壓 電流 或是 時間 參考電壓提供一個準則, 如 DAC 或 ADC 的 LSB 大小 穩壓器 (Regulator) 的輸出電壓位準 電池充電器的開與關等, 都是由參考電壓源或參考電流源所提供及決定 近年來, 由於製程的進步, 以及環保的需求, 使得系統操作電壓不斷地下降, 舊式的各種參考電壓線路逐一失效 本文將介紹於各個電壓下,

More information

總複習教材

總複習教材 102 學年度四技二專統一入學測驗動力機械群專業 ( 二 ) 試題 第一部份 : 電工概論與實習 ( 第 1 至 20 題, 每題 2.5 分, 共 50 分 ) 1. 圖 ( 一 ) 為一陶瓷電容器, 其電容值為何? (A)0.1μF (B)0.01μF (C)0.001μF (D)0.0001μF 圖 ( 一 ) 圖 ( 二 ) 圖 ( 三 ) 2. 圖 ( 二 ) 為一四色環電阻, 其電阻值最不可能為下列何者?

More information