版 权 声 明 本 手 册 版 权 归 西 安 康 耘 电 子 有 限 责 任 公 司 所 有, 未 经 康 耘 电 子 同 意, 任 何 单 位 和 个 人 不 得 擅 自 抄 录 本 手 册 或 全 部 以 任 何 形 式 用 于 商 业 目 的, 但 可 以 自 由 传 播 本 手 册 所 介

Size: px
Start display at page:

Download "版 权 声 明 本 手 册 版 权 归 西 安 康 耘 电 子 有 限 责 任 公 司 所 有, 未 经 康 耘 电 子 同 意, 任 何 单 位 和 个 人 不 得 擅 自 抄 录 本 手 册 或 全 部 以 任 何 形 式 用 于 商 业 目 的, 但 可 以 自 由 传 播 本 手 册 所 介"

Transcription

1 康 耘 电 子 硬 件 工 程 师 培 训 教 材 嵌 入 式 高 级 班 培 训 教 材 硬 件 工 程 师 培 训 教 材 西 安 康 耘 电 子 有 限 责 任 公 司 Xi an Canwin Electronic Co.,Ltd. 1

2 版 权 声 明 本 手 册 版 权 归 西 安 康 耘 电 子 有 限 责 任 公 司 所 有, 未 经 康 耘 电 子 同 意, 任 何 单 位 和 个 人 不 得 擅 自 抄 录 本 手 册 或 全 部 以 任 何 形 式 用 于 商 业 目 的, 但 可 以 自 由 传 播 本 手 册 所 介 绍 相 关 软 件 版 权 均 归 相 关 公 司 所 有, 这 里 只 供 学 习 使 用, 若 进 行 实 际 商 业 性 开 发 使 用 请 与 相 关 公 司 联 系 购 买 正 版 软 件 本 手 册 编 制 过 程 中 个 别 电 路 及 程 序 参 考 了 相 关 资 料, 在 手 册 中 都 给 出 了 说 明, 感 谢 这 些 资 料 的 提 供 者! 版 权 所 有 Copyright 2008 西 安 康 耘 电 子 有 限 责 任 公 司 Copyright 2008 Xi an Canwin Electronics Co.,Ltd. All Rights Reserved 2

3 目 录 第 一 部 分 扩 充 知 识 9 第 1 章 常 用 电 路 元 件 电 阻 电 容 与 二 极 管 功 率 电 子 器 件 功 率 电 子 器 件 及 其 应 用 要 求 功 率 电 子 器 件 数 字 电 位 器 基 准 电 源 芯 片 多 路 模 拟 开 关 可 编 程 运 算 放 大 器 电 压 / 电 流 变 换 器 (V/I) 模 拟 信 号 放 大 器 集 成 运 算 放 大 器 OP 测 量 放 大 器 27 第 2 章 存 储 器 类 型 及 扩 展 基 础 知 识 闪 存 闪 存 卡 SD 卡 CF 卡 37 第 3 章 开 关 电 源 技 术 开 关 电 源 原 理 开 关 电 源 的 电 路 组 成 输 入 电 路 的 原 理 及 常 见 电 路 功 率 变 换 电 路 输 出 整 流 滤 波 电 路 短 路 保 护 电 路 输 出 端 限 流 保 护 输 出 过 压 保 护 电 路 的 原 理 47 3

4 3.2.8 功 率 因 数 校 正 电 路 输 入 过 欠 压 保 护 49 第 4 章 总 线 技 术 内 部 总 线 系 统 总 线 外 部 总 线 CAN 总 线 CAN 总 线 简 介 及 其 特 点 CAN 总 线 通 信 介 质 访 问 控 制 方 式 应 用 技 术 以 太 网 无 线 通 信 技 术 59 第 5 章 常 用 传 感 器 传 感 器 分 类 温 度 传 感 器 热 敏 电 阻 热 电 偶 其 它 常 用 温 度 传 感 器 光 电 式 传 感 器 光 与 光 电 效 应 光 敏 电 阻 光 敏 管 热 释 电 传 感 器 (PIR) 光 电 检 测 的 组 合 形 式 超 声 波 传 感 器 压 力 传 感 器 气 体 检 测 电 路 湿 度 检 测 技 术 干 扰 的 抑 制 技 术 83 第 6 章 遥 控 技 术 红 外 遥 控 无 线 遥 控 91 4

5 第 二 部 分 PROTEL DXP 94 第 1 章 芯 片 封 装 形 式 的 特 点 和 优 点 94 第 2 章 绘 制 单 片 机 试 验 板 原 理 图 设 计 新 建 PCB 工 程 新 建 原 理 图 文 件 设 置 原 理 图 纸 张 大 小 放 置 元 件 AT89C51 的 电 路 连 接 连 接 电 路 复 位 电 路 串 行 接 口 电 路 重 新 编 排 元 件 序 号 和 ERC 检 查 PCB 设 计 元 件 的 PCB 封 装 准 备 PCB 生 成 向 导 PCB 元 件 布 局 布 线 补 泪 滴 敷 铜 电 路 DRC 检 验 139 第 3 章 高 级 实 例 总 体 方 案 介 绍 层 次 原 理 图 设 计 主 原 理 图 设 计 元 件 集 成 库 的 创 建 S3C44B0 核 心 板 的 原 理 图 设 计 子 原 理 图 设 计 STEPMOTOR.SCHDOC 子 原 理 图 CAN 总 线 接 口 子 原 理 图 绘 制 PCB 设 计 绘 制 S3C44B0 芯 片 的 PCB 封 装 PCB 生 成 向 导 工 作 层 面 的 说 明 和 设 置 171 5

6 第 4 章 常 用 操 作 原 理 图 打 印 自 动 更 新 功 能 PCB 图 的 打 印 生 成 元 件 清 单 180 第 5 章 数 字 电 路 的 抗 干 扰 方 法 形 成 干 扰 的 基 本 要 素 抗 干 扰 设 计 的 基 本 原 则 抑 制 干 扰 源 切 断 干 扰 传 播 路 径 提 高 敏 感 器 件 的 抗 干 扰 性 能 PCB 设 计 的 一 般 原 则 PCB 及 电 路 抗 干 扰 措 施 185 第 三 部 分 FPGA/CPLD 技 术 187 第 1 章 基 本 概 念 VERILOG HDL 的 基 本 知 识 VERILOG HDL 的 历 史 总 结 192 第 2 章 HDL 指 南 模 块 时 延 数 据 流 描 述 方 式 行 为 描 述 方 式 结 构 化 描 述 形 式 混 合 设 计 描 述 方 式 设 计 模 拟 203 第 3 章 VERILOG 语 言 要 素 标 识 符 207 6

7 3.2 注 释 格 式 系 统 任 务 和 函 数 编 译 指 令 值 集 合 数 据 类 型 线 网 类 型 未 说 明 的 线 网 向 量 和 标 量 线 网 寄 存 器 类 型 参 数 221 第 4 章 表 达 式 操 作 数 操 作 符 表 达 式 种 类 232 第 5 章 门 电 平 模 型 化 内 置 基 本 门 多 输 入 门 多 输 出 门 三 态 门 上 拉 下 拉 电 阻 MOS 开 关 双 向 开 关 门 时 延 实 例 数 组 隐 式 线 网 简 单 示 例 解 码 器 举 例 主 从 触 发 器 举 例 奇 偶 电 路 245 第 6 章 用 户 定 义 的 原 语 UDP 的 定 义 247 7

8 6.2 组 合 电 路 UDP 时 序 电 路 UDP 另 一 实 例 表 项 汇 总 251 8

9 第 一 部 分 扩 充 知 识 第 1 章 常 用 电 路 元 件 1.1 电 阻 电 容 与 二 极 管 1 电 阻 在 选 择 电 阻 器 的 阻 值 时, 应 根 据 设 计 电 路 时 理 论 计 算 电 阻 值, 在 最 靠 近 标 称 值 系 列 中 选 用 普 通 电 阻 器 ( 不 包 括 精 密 电 阻 器 ) 阻 值 标 称 系 列 值 见 下 表, 实 际 电 阻 器 的 阻 值 是 表 中 的 数 值 乘 以 10 n (n 为 整 数 ) 允 许 偏 差 (%) 阻 值 (Ω) ±5% ±10% ±20% 电 阻 器 额 定 功 率 标 称 系 列 值 电 阻 器 类 型 额 定 功 率 (W) 线 绕 电 阻 器 非 线 绕 电 阻 器 快 速 识 别 色 环 电 阻 目 前, 国 产 或 进 口 电 视 机 收 录 机 广 泛 采 用 色 环 电 阻, 其 优 点 是 在 装 配 调 试 和 修 理 过 程 中, 不 用 拨 动 元 件, 即 可 在 任 意 角 度 看 清 色 环, 读 出 阻 值, 使 用 很 方 便 以 往 杂 志 上 都 介 绍 过 色 环 电 阻 识 读 法, 按 其 方 法 读 数 时, 要 进 行 换 算, 较 麻 烦, 这 里 介 绍 一 种 快 速 识 别 阻 值 的 方 法 带 有 四 个 色 环 的 其 中 第 一 二 环 分 别 代 表 阻 值 的 前 两 位 数 ; 第 三 环 代 表 倍 率 ; 第 四 环 代 表 误 差 快 速 识 别 的 关 键 在 于 根 据 第 三 环 的 颜 色 把 阻 值 确 定 在 某 一 数 量 级 范 围 内, 例 如 是 几 点 几 K 还 是 几 十 几 K 的, 再 将 前 两 环 读 出 的 数 " 代 " 进 去, 这 样 就 可 很 快 读 出 数 来 下 面 介 绍 掌 握 此 方 法 的 几 个 要 点 : 1) 熟 记 第 一 二 环 每 种 颜 色 所 代 表 的 数 可 这 样 记 忆 : 棕 1, 红 2, 橙 3, 黄 4, 绿 5, 蓝 6, 紫 7, 灰 8, 白 9, 黑 0 这 样 连 起 来 读, 多 复 诵 几 遍 便 可 记 住 记 准 记 牢 第 三 环 颜 色 所 代 表 的 阻 值 范 围, 这 一 点 是 快 识 的 关 键 具 体 是 : 9

10 金 色 : 几 点 几 Ω 黑 色 : 几 十 几 Ω 棕 色 : 几 百 几 十 Ω 红 色 : 几 点 几 kω 橙 色 : 几 十 几 kω 黄 色 : 几 百 几 十 kω 绿 色 : 几 点 几 MΩ 蓝 色 : 几 十 几 MΩ 从 数 量 级 来 看, 大 体 上 可 把 它 们 划 分 为 三 个 大 的 等 级, 即 : 金 黑 棕 色 是 欧 姆 级 的 ; 红 橙 黄 色 是 千 欧 级 的 ; 绿 蓝 色 则 是 兆 欧 级 的 这 样 划 分 一 下 是 为 了 便 于 记 忆 2) 当 第 二 环 是 黑 色 时, 第 三 环 颜 色 所 代 表 的 则 是 整 数, 即 几, 几 十, 几 百 kω 等, 这 是 读 数 时 的 特 殊 情 况, 要 注 意 例 如 第 三 环 是 红 色, 则 其 阻 值 即 是 整 几 kω 的 3) 记 住 第 四 环 颜 色 所 代 表 的 误 差, 即 : 金 色 为 5%; 银 色 为 10%; 无 色 为 20% 下 面 举 例 说 明 : 例 1: 当 四 个 色 环 依 次 是 黄 橙 红 金 色 时, 因 第 三 环 为 红 色 阻 值 范 围 是 几 点 几 kω 的, 按 照 黄 橙 两 色 分 别 代 表 的 数 "4" 和 "3" 代 入,, 则 其 读 数 为 43 kω 第 环 是 金 色 表 示 误 差 为 5% 例 2: 当 四 个 色 环 依 次 是 棕 黑 橙 金 色 时, 因 第 三 环 为 橙 色, 第 二 环 又 是 黑 色, 阻 值 应 是 整 几 十 kω 的, 按 棕 色 代 表 的 数 "1" 代 入, 读 数 为 10 kω 第 四 环 是 金 色, 其 误 差 为 5% 3 晶 体 二 极 管 的 种 类 晶 体 二 极 管 按 其 组 成 的 材 料 分 可 为 : 锗 二 极 管 硅 二 极 管 砷 化 嫁 二 极 管 ( 发 光 二 极 管 ) 而 按 用 途 分 可 为 : 整 流 二 极 管 稳 压 二 极 管 开 关 二 极 管 发 光 二 极 管 检 波 二 极 管 变 容 二 极 管 等 常 用 晶 体 二 极 管 的 电 路 符 号 如 下 图 所 示 4 电 容 1) 单 位 : 电 容 的 基 本 单 位 是 :F ( 法 ), 此 外 还 有 μf( 微 法 ) pf( 皮 法 ), 另 外 还 有 一 个 用 的 比 较 少 的 单 位, 那 就 是 :nf(), 由 于 电 容 F 的 容 量 非 常 大, 所 以 我 们 看 到 的 一 般 都 是 μf nf pf 的 单 位, 而 不 是 F 的 单 位 他 们 之 间 的 具 体 换 算 如 下 : 1F= μF 1μF=1000nF= pF 2) 电 容 的 耐 压 单 位 :V( 伏 特 ) 每 一 个 电 容 都 有 它 的 耐 压 值, 这 是 电 容 的 重 要 参 数 之 一 普 通 无 极 性 电 容 的 标 称 耐 压 值 有 :63V 100V 160V 250V 400V 600V 1000V 等, 有 极 性 电 容 的 耐 压 值 相 对 要 比 无 10

11 极 性 电 容 的 耐 压 要 低, 一 般 的 标 称 耐 压 值 有 :4V 6.3V 10V 16V 25V 35V 50V 63V 80V 100V 220V 400V 等 3) 电 容 的 种 类 电 容 的 种 类 有 很 多, 可 以 从 原 理 上 分 为 : 无 极 性 可 变 电 容 无 极 性 固 定 电 容 有 极 性 电 容 等, 从 材 料 上 可 以 分 为 :CBB 电 容 ( 聚 乙 烯 ), 涤 纶 电 容 瓷 片 电 容 云 母 电 容 独 石 电 容 电 解 电 容 钽 电 容 等 下 面 是 各 种 电 容 的 优 缺 点 : 无 感 CBB 电 容 2 层 聚 丙 乙 烯 塑 料 和 2 层 金 属 箔 交 替 夹 杂 然 后 捆 绑 而 成 无 感, 高 频 特 性 好, 体 积 较 小, 不 适 合 做 大 容 量, 价 格 比 较 高, 耐 热 性 能 较 差 CBB 电 容 2 层 聚 乙 烯 塑 料 和 2 层 金 属 箔 交 替 夹 杂 然 后 捆 绑 而 成 有 感, 其 他 同 上 瓷 片 电 容 薄 瓷 片 两 面 渡 金 属 膜 银 而 成 体 积 小, 耐 压 高, 价 格 低, 频 率 高 ( 有 一 种 是 高 频 电 容 ) 易 碎! 容 量 低 云 母 电 容 云 母 片 上 镀 两 层 金 属 薄 膜 容 易 生 产, 技 术 含 量 低 体 积 大, 容 量 小,( 几 乎 没 有 用 了 ) 独 石 电 容 体 积 比 CBB 更 小, 其 他 同 CBB, 有 感 电 解 电 容 两 片 铝 带 和 两 层 绝 缘 膜 相 互 层 叠, 转 捆 后 浸 泡 在 电 解 液 ( 含 酸 性 的 合 成 溶 液 ) 中 容 量 大 高 频 特 性 不 好 钽 电 容 用 金 属 钽 作 为 正 极, 在 电 解 质 外 喷 上 金 属 作 为 负 极 稳 定 性 好, 容 量 大, 高 频 特 性 好 造 价 高 ( 一 般 用 于 关 键 地 方 ) 5 集 成 三 端 稳 压 器 型 号 功 能 型 号 功 能 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 V 1A 稳 压 器 11

12 1.2 功 率 电 子 器 件 功 率 电 子 器 件 及 其 应 用 要 求 功 率 电 子 器 件 大 量 被 应 用 于 电 源 伺 服 驱 动 变 频 器 电 机 保 护 器 等 功 率 电 子 设 备 这 些 设 备 都 是 自 动 化 系 统 中 必 不 可 少 的, 因 此, 我 们 了 解 它 们 是 必 要 的 近 年 来, 随 着 应 用 日 益 高 速 发 展 的 需 求, 推 动 了 功 率 电 子 器 件 的 制 造 工 艺 的 研 究 和 发 展, 功 率 电 子 器 件 有 了 飞 跃 性 的 进 步 器 件 的 类 型 朝 多 元 化 发 展, 性 能 也 越 来 越 改 善 大 致 来 讲, 功 率 器 件 的 发 展, 体 现 在 如 下 方 面 : 1. 器 件 能 够 快 速 恢 复, 以 满 足 越 来 越 高 的 速 度 需 要 以 开 关 电 源 为 例, 采 用 双 极 型 晶 体 管 时, 速 度 可 以 到 几 十 千 赫 ; 使 用 MOSFET 和 IGBT, 可 以 到 几 百 千 赫 ; 而 采 用 了 谐 振 技 术 的 开 关 电 源, 则 可 以 达 到 兆 赫 以 上 2. 通 态 压 降 ( 正 向 压 降 ) 降 低 这 可 以 减 少 器 件 损 耗, 有 利 于 提 高 速 度, 减 小 器 件 体 积 3. 电 流 控 制 能 力 增 大 电 流 能 力 的 增 大 和 速 度 的 提 高 是 一 对 矛 盾, 目 前 最 大 电 流 控 制 能 力, 特 别 是 在 电 力 设 备 方 面, 还 没 有 器 件 能 完 全 替 代 可 控 硅 4. 额 定 电 压 : 耐 压 高 耐 压 和 电 流 都 是 体 现 驱 动 能 力 的 重 要 参 数, 特 别 对 电 力 系 统, 这 显 得 非 常 重 要 5. 温 度 与 功 耗 这 是 一 个 综 合 性 的 参 数, 它 制 约 了 电 流 能 力 开 关 速 度 等 能 力 的 提 高 目 前 有 两 个 方 向 解 决 这 个 问 题, 一 是 继 续 提 高 功 率 器 件 的 品 质, 二 是 改 进 控 制 技 术 来 降 低 器 件 功 耗, 比 如 谐 振 式 开 关 电 源 总 体 来 讲, 从 耐 压 电 流 能 力 看, 可 控 硅 目 前 仍 然 是 最 高 的, 在 某 些 特 定 场 合, 仍 然 要 使 用 大 电 流 高 耐 压 的 可 控 硅 但 一 般 的 工 业 自 动 化 场 合, 功 率 电 子 器 件 已 越 来 越 多 地 使 用 MOSFET 和 IGBT, 特 别 是 IGBT 获 得 了 更 多 的 使 用, 开 始 全 面 取 代 可 控 硅 来 做 为 新 型 的 功 率 控 制 器 件 功 率 电 子 器 件 一 整 流 二 极 管 二 极 管 是 功 率 电 子 系 统 中 不 可 或 缺 的 器 件, 用 于 整 流 续 流 等 目 前 比 较 多 地 使 用 如 下 三 种 选 择 : 12

13 1. 高 效 快 速 恢 复 二 极 管 压 降 V, 适 合 小 功 率,12V 左 右 电 源 2. 高 效 超 快 速 二 极 管 V, 适 合 小 功 率,12V 左 右 电 源 3. 肖 特 基 势 垒 整 流 二 极 管 SBD 0.4V, 适 合 5V 等 低 压 电 源 缺 点 是 其 电 阻 和 耐 压 的 平 方 成 正 比, 所 以 耐 压 低 (200V 以 下 ), 反 向 漏 电 流 较 大, 易 热 击 穿 但 速 度 比 较 快, 通 态 压 降 低 目 前 SBD 的 研 究 前 沿, 已 经 超 过 1 万 伏 二 大 功 率 晶 体 管 GTR 分 为 : 单 管 形 式 电 流 系 数 :10-30 双 管 形 式 达 林 顿 管 电 流 倍 数 : 饱 和 压 降 大, 速 度 慢 实 际 比 较 常 用 的 是 达 林 顿 模 块, 它 把 GTR 续 流 二 极 管 辅 助 电 路 做 到 一 个 模 块 内 在 较 早 期 的 功 率 电 子 设 备 中, 比 较 多 地 使 用 了 这 种 器 件 这 种 器 件 的 制 造 水 平 是 1800V/800A/2KHz 600V/3A/100KHz 左 右 ( 参 考 ) 三 晶 闸 管 ( 可 控 硅 SCR) 晶 闸 管 (Thyristor) 是 晶 体 闸 流 管 的 简 称, 又 可 称 做 可 控 硅 整 流 器, 以 前 被 简 称 为 可 控 硅 ; 晶 闸 管 是 PNPN 四 层 半 导 体 结 构, 它 有 三 个 极 : 阳 极, 阴 极 和 门 极 ; 晶 闸 管 工 作 条 件 为 : 加 正 向 电 压 且 门 极 有 触 发 电 流 ; 其 派 生 器 件 有 : 快 速 晶 闸 管, 双 向 晶 闸 管, 逆 导 晶 闸 管, 光 控 晶 闸 管 等 它 是 一 种 大 功 率 开 关 型 半 导 体 器 件, 在 电 路 中 用 文 字 符 号 为 V VT 表 示 ( 旧 标 准 中 用 字 母 SCR 表 示 ) 晶 闸 管 具 有 硅 整 流 器 件 的 特 性, 能 在 高 电 压 大 电 流 条 件 下 工 作, 且 其 工 作 过 程 可 以 控 制 被 广 泛 应 用 于 可 控 整 流 交 流 调 压 无 触 点 电 子 开 关 逆 变 及 变 频 等 电 子 电 路 中 晶 闸 管 的 工 作 原 理 : 晶 闸 管 T 在 工 作 过 程 中, 它 的 阳 极 A 和 阴 极 K 与 电 源 和 负 载 连 接, 组 成 晶 闸 管 的 主 电 路, 晶 闸 管 的 门 极 G 和 阴 极 K 与 控 制 晶 闸 管 的 装 置 连 接, 组 成 晶 闸 管 的 控 制 电 路 晶 闸 管 的 工 作 条 件 : 13

14 1. 晶 闸 管 承 受 反 向 阳 极 电 压 时, 不 管 门 极 承 受 何 种 电 压, 晶 闸 管 都 处 于 关 断 状 态 2. 晶 闸 管 承 受 正 向 阳 极 电 压 时, 仅 在 门 极 承 受 正 向 电 压 的 情 况 下 晶 闸 管 才 导 通 3. 晶 闸 管 在 导 通 情 况 下, 只 要 有 一 定 的 正 向 阳 极 电 压, 不 论 门 极 电 压 如 何, 晶 闸 管 保 持 导 通, 即 晶 闸 管 导 通 后, 门 极 失 去 作 用 4. 晶 闸 管 在 导 通 情 况 下, 当 主 回 路 电 压 ( 或 电 流 ) 减 小 到 接 近 于 零 时, 晶 闸 管 关 断 注 意 事 项 : 1 一 般 小 功 率 晶 闸 管 不 需 加 散 热 片, 但 应 远 离 发 热 元 件, 如 大 功 率 电 阻 大 功 率 三 极 管 以 及 电 源 变 压 器 等 对 于 大 功 率 晶 闸 管, 必 须 按 手 册 申 的 要 求 加 装 散 热 装 置 及 冷 却 条 件, 以 保 证 管 子 工 作 时 的 温 度 不 超 过 结 温 2 晶 闸 管 在 使 用 中 发 生 超 越 和 短 路 现 象 时, 会 引 发 过 电 流 将 管 子 烧 毁 对 于 过 电 流, 一 般 可 在 交 流 电 源 中 加 装 快 速 保 险 丝 加 以 保 护 快 速 保 险 丝 的 熔 断 时 间 极 短, 一 般 保 险 丝 的 额 定 电 流 用 晶 闸 管 额 定 平 均 电 流 的 1.5 倍 来 选 择 3 交 流 电 源 在 接 通 与 断 开 时, 有 可 能 在 晶 闸 管 的 导 通 或 阻 断 对 出 现 过 压 现 象, 将 管 子 击 穿 对 于 过 电 压, 可 采 用 并 联 RC 吸 收 电 路 的 方 法 因 为 电 容 两 端 的 电 压 不 能 突 变, 所 以 只 要 在 晶 闸 管 的 阴 极 及 阳 极 间 并 取 RC 电 路, 就 可 以 削 弱 电 源 瞬 间 出 现 的 过 电 压, 起 到 保 护 晶 闸 管 的 作 用 当 然 也 可 以 采 用 压 敏 电 阻 过 压 保 护 元 件 进 行 过 压 保 护 可 控 硅 在 大 电 流 高 耐 压 场 合 还 是 必 须 的, 但 在 常 规 工 业 控 制 的 低 压 中 小 电 流 控 制 中, 已 逐 步 被 新 型 器 件 取 代 目 前 的 研 制 水 平 在 12KV/8000A 左 右 ( 参 考 ) 由 于 可 控 硅 换 流 电 路 复 杂, 逐 步 开 发 了 门 极 关 断 晶 闸 管 GTO 制 造 水 平 达 到 8KV/8KA, 频 率 为 1KHz 左 右 无 论 是 SCR 还 是 GTO, 控 制 电 路 都 过 于 复 杂, 特 别 是 需 要 庞 大 的 吸 收 电 路 而 且, 速 度 低, 因 此 限 制 了 它 的 应 用 范 围 拓 宽 集 成 门 极 换 流 晶 闸 管 IGCT 和 MOS 关 断 晶 闸 管 之 类 的 器 件 在 控 制 门 极 前 使 用 了 MOS 栅, 从 而 达 到 硬 关 断 能 力 四. 功 率 MOSFET 又 叫 功 率 场 效 应 管 或 者 功 率 场 控 晶 体 管 其 特 点 是 驱 动 功 率 小, 速 度 高, 安 全 工 作 区 宽 但 高 压 时, 导 通 电 阻 与 电 压 的 平 方 成 正 比, 因 而 提 高 耐 压 和 降 低 高 压 阻 抗 困 难 适 合 低 压 100V 以 下, 是 比 较 理 想 的 器 件 目 前 的 研 制 水 平 在 1000V/65A 左 右 ( 参 考 ) 商 业 化 的 产 品 达 到 60V/200A/2MHz 500V/50A/100KHz 是 目 前 速 度 最 快 的 功 率 器 件 五.IGBT IGBT(Insulated Gate Bipolar Transistor), 绝 缘 栅 双 极 型 功 率 管, 是 由 BJT( 双 极 14

15 型 三 极 管 ) 和 MOS( 绝 缘 栅 型 场 效 应 管 ) 组 成 的 复 合 全 控 型 电 压 驱 动 式 电 力 电 子 器 件, 兼 有 MOSFET 的 高 输 入 阻 抗 和 GTR 的 低 导 通 压 降 两 方 面 的 优 点 GTR 饱 和 压 降 低, 载 流 密 度 大, 但 驱 动 电 流 较 大 ;MOSFET 驱 动 功 率 很 小, 开 关 速 度 快, 但 导 通 压 降 大, 载 流 密 度 小 IGBT 综 合 了 以 上 两 种 器 件 的 优 点, 驱 动 功 率 小 而 饱 和 压 降 低 非 常 适 合 应 用 于 直 流 电 压 为 600V 及 以 上 的 变 流 系 统 如 交 流 电 机 变 频 器 开 关 电 源 照 明 电 路 牵 引 传 动 等 领 域 这 种 器 件 的 特 点 是 集 MOSFET 与 GTR 的 优 点 于 一 身 输 入 阻 抗 高, 速 度 快, 热 稳 定 性 好 通 态 电 压 低, 耐 压 高, 电 流 大 目 前 这 种 器 件 的 两 个 方 向 : 一 是 朝 大 功 率, 二 是 朝 高 速 度 发 展 大 功 率 IGBT 模 块 达 到 A/ V 的 水 平 ( 参 考 ) 速 度 在 中 等 电 压 区 域 ( V), 可 达 到 KHz 它 的 电 流 密 度 比 MOSFET 大, 芯 片 面 积 只 有 MOSFET 的 40% 但 速 度 比 MOSFET 低 尽 管 电 力 电 子 器 件 发 展 过 程 远 比 我 们 现 在 描 述 的 复 杂, 但 是 MOSFET 和 IGBT, 特 别 是 IGBT 已 经 成 为 现 代 功 率 电 子 器 件 的 主 流 因 此, 我 们 下 面 的 重 点 也 是 这 两 种 器 件 1.3 数 字 电 位 器 目 前 很 多 的 电 器 产 品 及 其 仪 器 仪 表 所 用 的 电 位 器 是 通 过 机 械 滑 臂 改 变 在 电 阻 膜 或 线 绕 电 阻 体 上 的 位 置, 以 达 到 改 变 电 位 器 阻 值 的 大 小, 这 种 电 位 器 称 为 模 拟 电 位 器 数 字 电 位 器 是 利 用 微 电 子 技 术 制 成 的 集 成 电 路, 它 是 依 靠 电 阻 阵 列 和 多 路 模 拟 开 关 的 组 合 来 完 成 阻 值 的 变 化 它 没 有 可 动 的 滑 臂, 而 是 通 过 按 钮 输 入 信 号, 或 是 通 过 数 字 输 入 信 号 来 改 变 数 字 电 位 器 的 阻 值 数 字 电 位 器 有 易 失 和 非 易 失 两 种, 对 于 易 失 电 位 器, 一 旦 器 件 掉 电, 电 位 器 的 调 节 位 置 将 丢 失 这 时, 有 可 能 需 要 使 用 外 部 EEPROM 闪 存 或 其 他 类 型 的 非 易 失 存 储 器 非 易 失 数 字 电 位 器 芯 片 内 包 含 了 这 种 存 储 器, 一 般 为 EEPROM, 在 断 电 时 用 于 存 储 电 位 器 的 设 置 不 同 型 号 的 数 字 电 位 器 阻 值 不 同, 一 般 有 1kΩ 2kΩ 10kΩ 50kΩ 100kΩ 等 此 外, 数 字 电 位 器 需 要 在 加 电 条 件 下 进 行 调 节 和 工 作, 没 有 加 电 的 情 况 下 不 具 备 电 阻 功 能 非 易 失 性 数 字 电 位 器 X9221 X9221 是 美 国 XICOR 公 司 新 近 研 制 出 的 功 能 独 特 的 电 子 数 控 电 位 器 X9221 在 一 片 CMOS 集 成 电 路 内 集 成 有 2 个 非 易 失 性 数 控 电 位 器 (E2POT), 其 调 节 过 程 可 以 由 微 处 理 器 (μp) 或 微 控 制 器 (μc) 经 二 线 总 线 接 口 进 行 控 制 这 种 二 线 接 口 数 字 电 位 器 具 有 如 下 许 多 优 点 :(1) 调 节 精 度 高 ;(2) 不 易 受 诸 如 振 动 污 染 潮 湿 等 影 响 ;(3) 无 机 械 磨 损 ;(4) 接 口 引 脚 少 ;(5) 集 成 度 高 ;(6) 数 据 可 读 写 ;(7) 具 有 配 置 寄 存 器 及 数 据 寄 存 器 ;(8) 多 电 平 量 存 储 功 能, 特 别 适 用 于 音 频 系 统 ;(9) 易 于 软 件 控 制 ;(10) 采 用 设 计 人 员 熟 悉 的 I2C 通 信 协 议 ;(11) 体 积 小 巧, 易 于 装 配 它 适 用 于 家 庭 影 院 系 统 音 频 环 绕 控 制 音 响 功 放 有 线 电 视 设 备 等 X9221 内 含 滑 动 端 计 数 寄 存 器 (WCR) 及 数 据 寄 存 器 它 的 每 个 E2POT 可 存 储 4 个 滑 动 端 位 置 ; 每 个 电 位 器 有 64 个 抽 头 ; 工 作 电 压 Vcc 则 为 4.5~5.5 或 2.7~5.5V 内 部 结 构 15

16 X9221 片 内 包 含 2 个 电 阻 阵 列 ( 或 称 电 位 器 或 E2POT) 和 I2C 接 口 电 路 X9221 的 功 能 方 框 图 如 图 1 所 示 每 个 电 阻 阵 列 内 又 包 含 63 个 电 阻 单 元 64 个 电 子 开 关 一 个 滑 动 端 计 数 寄 存 器 (WCR) 4 个 8 位 数 据 寄 存 器 (R0~R3) 递 增 / 递 减 逻 辑 电 路 级 联 控 制 逻 辑 电 路 以 及 64 选 1 译 码 电 路 在 相 邻 的 两 个 电 阻 单 元 之 间 以 及 两 个 端 点 处 共 设 64 个 可 以 被 滑 动 端 访 问 的 抽 头 滑 动 端 在 阵 列 中 的 位 置 可 由 用 户 通 过 二 线 串 行 总 线 (I2C) 接 口 控 制 每 个 电 阻 阵 列 配 置 一 个 滑 动 端 计 数 寄 存 器 和 4 个 数 据 寄 存 器, 这 4 个 数 据 寄 存 器 可 以 由 用 户 程 序 直 接 写 入 和 读 出 滑 动 端 计 数 寄 存 器 的 内 容 控 制 滑 动 端 在 电 阻 阵 列 中 的 位 置 数 据 寄 存 器 的 内 容 可 以 传 送 到 滑 动 端 计 数 寄 存 器, 以 设 置 滑 动 端 的 位 置 当 前 滑 动 端 的 位 置 可 以 被 传 送 到 与 它 相 关 联 的 4 个 数 据 寄 存 器 中 的 任 何 一 个 之 中 也 就 是 说,WCR 可 以 直 接 被 写 入, 或 者 也 可 以 把 起 辅 助 作 用 的 4 个 数 据 寄 存 器 之 一 的 内 容 转 移 到 WCR 中 来 改 变 其 内 容 这 些 数 据 寄 存 器 和 WCR 都 可 以 由 微 电 脑 来 读 出 或 写 入 X9221 中 的 每 一 个 电 阻 阵 列 的 主 体 部 分 是 63 只 串 联 连 接 的 集 成 电 阻 器 电 阻 串 联 支 路 的 两 端 VH 和 VL 就 相 当 于 一 个 机 械 电 位 器 的 两 个 固 定 端 ; 串 联 支 路 中 的 电 阻 器 之 间 的 连 接 16

17 点 以 及 两 个 端 点, 都 可 以 经 过 场 效 应 管 开 关 连 通 到 滑 动 端 VW 上 在 同 一 时 刻 只 能 有 一 只 开 关 闭 合, 究 竟 哪 一 只 闭 合 由 滑 动 端 计 数 寄 存 器 WCR 内 容 确 定 只 有 WCR 中 的 低 6 位 被 译 码, 才 能 选 择 和 使 能 64 选 1 的 开 关 接 通 引 脚 功 能 X9221 共 有 20 个 外 接 引 脚 它 有 DIP SOIC 和 TSSOP 三 种 封 装 形 式 其 引 脚 排 列 如 图 3 所 示 各 引 脚 的 功 能 如 表 1 所 示 表 1 引 脚 功 能 引 脚 符 号 说 明 B14 SCL I 2 C 总 线 串 行 时 钟 9 SDA I 2 C 总 线 串 行 数 据 4 5 B15 B16 A0-A3 设 置 器 件 从 属 地 址 低 4 位 3 8 VH0-VH1 电 位 器 终 端, 等 效 于 机 械 电 位 器 的 上 端 2 7 VL0-VL1 电 位 器 终 端, 等 效 于 机 械 电 位 器 的 下 端 1 6 VW0-VW1 电 位 器 滑 动 端, 等 效 于 机 械 电 位 器 中 心 抽 头 B20 Vcc 系 统 电 源 正 极 10 Vss 系 统 地 B11 B12 B17~B19 RES 保 留, 无 连 接 器 件 寻 址 在 开 始 条 件 的 后 面, 主 器 件 必 须 输 出 它 所 要 访 问 的 从 器 件 的 地 址 从 器 件 的 高 4 位 地 址 是 器 件 类 型 识 别 码, 器 件 的 类 型 不 同, 识 别 码 也 就 不 同, 并 且 识 别 码 是 固 定 不 变 的 对 于 数 控 电 位 器 X9221 来 说, 这 个 识 别 码 固 定 为 0101 格 式 如 下 : A2 A1 A0 A0 器 件 类 型 识 别 码 器 件 地 址 从 器 件 的 低 4 位 是 该 器 件 的 编 程 地 址, 该 地 址 由 A0~A3 引 脚 的 连 接 状 态 来 定 义 借 助 于 器 件 的 编 程 地 址, 主 机 可 以 识 别 一 个 系 统 中 类 型 相 同 的 多 个 器 件 ( 在 此 可 以 识 别 16 片 X9221) 每 次 通 信 的 开 始,X9221 都 把 接 收 到 的 地 址 与 自 己 的 地 址 ( 含 识 别 码 和 编 程 地 址 ) 相 比 较 如 果 是 所 有 的 8 位 地 址 都 相 符, 则 X9221 做 出 一 个 应 答 响 应 I3 I2 I1 I0 0 P0 R1 R0 指 令 码 电 位 器 选 择 寄 存 器 选 择 指 令 结 构 X9221 共 有 9 条 指 令, 指 令 的 长 度 为 两 个 字 节 或 三 个 字 节 不 等 每 条 指 令 的 第 一 个 字 节 为 地 址 字 节, 第 二 个 字 节 为 指 令 字 节 在 指 令 字 节 中 又 包 含 指 令 码 和 寄 存 器 指 针 信 息, 即 4 个 高 位 I0~I3 是 指 令 码 ; 紧 接 着 的 两 位 0 和 P0 选 择 2 个 电 位 器 中 的 哪 一 个, 最 后 两 位 R1 和 R0 选 择 4 个 寄 存 器 中 的 哪 一 个 表 2 指 令 集 指 令 指 令 字 节 名 I3 I2 I1 I0 0 P0 R1 R0 操 作 RW /0 N/A N/A 读 出 由 P1P 0 指 定 WCR 的 内 容 WW /0 N/A N/A 写 人 新 值 到 P1P 0 指 定 WCR 中 17

18 RDR /0 1/0 1/0 WDR /0 1/0 1/0 XDW /0 1/0 1/0 XWD /0 1/0 1/0 读 出 由 P1P0R1R 0 指 定 数 据 寄 存 器 内 容 写 入 新 值 到 P1P 0R1R0 指 定 数 据 寄 存 器 中 传 输 由 P1P 0R1R0 指 定 数 据 寄 存 器 内 容 到 P1P0 指 定 的 WCR 中 传 输 由 P1P 0 指 定 的 WCR 内 容 到 P1P 0R1R0 指 定 的 数 据 寄 存 器 中 GXDW N/A N/A 1/0 1/0 传 输 由 R1R0 指 定 所 有 的 4 个 数 据 寄 存 器 的 内 容 到 与 它 们 相 关 的 WCR 中 GXWD N/A N/A 1/0 1/0 传 输 所 有 的 WCR 内 容 到 与 它 们 相 关 的 由 R1R0 指 定 的 4 个 数 据 寄 存 器 中 IDW /0 N/A N/A 使 能 由 P1P 0 指 定 的 WCR 递 增 / 递 减 操 作 注 :1/0 表 示 数 据 0 或 1;N/A 表 示 没 有 使 用 应 用 举 例 电 路 中, 用 一 片 X9221 的 两 个 电 位 器 分 别 控 制 双 声 道 立 体 声 系 统 的 左 声 道 和 右 声 道, 以 实 现 对 传 统 音 响 设 备 的 模 糊 控 制 智 能 控 制 以 及 遥 控 控 制 器 件 选 一 片 89C51 单 片 机, 仅 用 P1.0 和 P1.1 两 个 端 口 与 X9221 的 SDA 和 SCL 相 连 通 常 在 SDA 和 SCL 线 上 需 要 设 置 上 拉 电 阻, 该 阻 值 取 决 于 连 接 到 总 线 上 的 所 有 器 件 的 总 容 量 按 图 4 中 的 情 况, 等 效 容 量 约 为 18pF 如 果 所 选 用 的 单 片 机 具 有 内 部 上 拉 电 阻, 则 外 部 上 拉 电 阻 可 以 省 略 当 应 用 系 统 中 只 有 一 片 X9221 时, 其 4 位 地 址 A0~A1 引 脚 可 以 都 连 接 到 地, 此 时 编 程 地 址 定 义 为 基 准 电 源 芯 片 在 需 要 高 精 度 电 压 基 准 的 场 合, 如 高 精 度 A/D 转 换 器 D/A 转 换 器 传 感 器 等 应 用 电 路 中, 使 用 低 精 度 的 78 系 列 或 79 系 列 集 成 稳 压 器 无 法 满 足 要 求, 这 时 就 需 要 采 用 基 准 电 压 芯 片 来 供 给 精 密 电 压, 以 实 现 高 精 度 的 转 换 或 测 量 最 常 用 的 基 准 电 压 芯 片 输 出 为 ±5V, 因 为 大 多 数 的 A/D 和 D/A 转 换 器 采 用 5V 电 压 基 准 也 有 3V 10V 等 标 准 1 AD586 AD586 为 高 精 度 5V 参 考 电 压 源, 最 大 偏 差 为 ±2.0mV, 其 优 良 的 性 能 使 其 得 到 了 广 泛 应 用 其 引 脚 图 如 下 : 18

19 引 脚 功 能 如 下 : 脚 为 内 部 为 内 部 测 试 端, 使 用 该 芯 片 时 不 需 连 接 ; 2 脚 为 正 电 源 输 入 端, 要 求 电 压 在 10.8V~36V 之 间, 推 荐 采 用 12V 或 15V; 4 脚 接 地 ; 8 脚 为 噪 声 抑 制 端, 使 用 时 可 在 8 脚 及 4 脚 之 间 接 一 个 1uF 的 电 容 ; 6 脚 为 精 密 电 压 输 出 端 ; 5 脚 一 般 不 用, 在 需 要 5V 以 上 电 压 基 准 输 出 时, 可 采 用 下 图 方 式 连 接, 这 样 输 出 电 压 最 大 可 达 5V+300mV AD586 应 用 非 常 简 单, 只 需 要 2 4 脚 输 入 正 确 范 围 (10.8V~36V) 的 电 压,6 脚 就 会 输 出 +5V 的 精 确 电 压, 精 度 只 取 决 于 应 用 哪 种 器 件 类 型 不 同 类 型 器 件 的 参 数 见 下 表 : 型 号 误 差 温 度 漂 移 温 度 范 围 封 装 类 型 AD586JN 20 mv 25 ppm/ C 0 C to +70 C N-8 AD586JQ 20 mv 25 ppm/ C 0 C to +70 C Q-8 AD586JR 20 mv 25 ppm/ C 0 C to +70 C SO-8 AD586KN 5 mv 15 ppm/ C 0 C to +70 C N-8 AD586KQ 5 mv 15 ppm/ C 0 C to +70 C Q-8 AD586KR 5 mv 15 ppm/ C 0 C to +70 C SO-8 AD586LN 2.5 mv 5 ppm/ C 0 C to +70 C N-8 AD586LR 2.5 mv 5 ppm/ C 0 C to +70 C SO-8 AD586MN 2 mv 2 ppm/ C 0 C to +70 C N-8 AD586AR 5 mv 15 ppm/ C 40 C to +85 C SO-8 AD586BR 2.5 mv 5 ppm/ C 40 C to +85 C SO-8 19

20 AD586LQ 2.5 mv 5 ppm/ C 0 C to +70 C Q-8 AD586SQ 10 mv 20 ppm/ C 55 C to +125 C Q-8 AD586TQ 2.5 mv 10 ppm/ C 55 C to +125 C Q-8 AD586JCHIPS 20 mv 25 ppm/ C 0 C to +70 C 2 MAX873 MAX875 和 MAX876 MAX873 MAX875 和 MAX876 分 别 是 2.5V 5V 和 10V 的 高 精 度 电 压 基 准 芯 片, 而 且 功 耗 很 低 它 们 的 最 大 误 差 分 别 是 1.5mV 2mV 和 3mV, 而 且 电 压 输 入 范 围 宽 引 脚 如 下 : 1 8 脚 为 测 试 脚, 无 需 连 接 ; 7 脚 为 空 管 脚 ; 2 脚 为 正 电 源 输 入,MAX873 的 范 围 是 4.5V~18V,MAX875 的 范 围 是 7V~18V, MAX876 的 范 围 是 12V~18V; 4 脚 接 地 ; 6 脚 为 基 准 电 压 输 出 MAX873 MAX875 和 MAX876 应 用 非 常 简 单, 只 需 要 2 4 脚 输 入 正 确 范 围 的 电 压, 6 脚 就 会 输 出 所 需 的 精 确 电 压, 精 度 只 取 决 于 应 用 哪 种 器 件 类 型 1.5 多 路 模 拟 开 关 20 当 需 要 对 多 个 模 拟 量 进 行 模 数 变 换 时, 由 于 模 数 转 换 器 (A/D 转 换 器 ) 的 价 格 较 贵, 通 常 不 是 每 个 模 拟 量 输 入 通 道 设 置 一 个 A/D, 而 是 多 路 输 入 模 拟 量 共 用 一 个 A/D, 中 间 经 过 多 路 转 换 开 关 切 换, 即 多 路 模 拟 开 关 多 路 模 拟 开 关 最 重 要 的 部 分 是 电 子 开 关 AS, 它 是 用 数 字 电 子 逻 辑 控 制 模 拟 信 号 通 断 的 一 种 电 路, 通 常 是 由 双 极 型 晶 体 管 (BJT) 结 型 场 效 应 晶 体 管 (J-FET) 或 金 属 氧 化 物 半 导 体 场 效 应 管 (MOS-FET) 等 类 型 组 成 的 电 子 开 关 多 路 模 拟 开 关 芯 片 种 类 很 多, 这 里 介 绍 两 种 常 用 的 芯 片 1 CD4051 CD4051 芯 片 允 许 双 向 使 用, 即 可 以 用 于 从 多 路 到 单 个 的 转 换, 也 可 以 用 于 从 单 个 到 多 个 的 转 换 它 有 3 个 二 进 制 控 制 输 入 端 A2 A1 A0 和 一 个 禁 止 输 入 端 INH, 用 3 位 二 进 制 信 号 来 选 择 8 个 通 道 中 的 一 个 通 道 当 INH=1 时, 通 道 断 开, 禁 止 模 拟 量 输 入 ; 当

21 INH=0 时, 通 道 接 通, 允 许 模 拟 量 输 入 该 多 路 开 关 的 输 入 电 平 范 围 广, 数 字 量 输 入 为 3~15V, 模 拟 量 输 入 可 达 15V CD4051 引 脚 图 CD4051 真 值 表 输 入 状 态 INH A2 A1 A0 被 选 通 道 IO IO IO IO IO IO IO IO7 1 X X X 无 电 源 电 压 范 围 :3V~15V; 输 入 电 压 范 围 :0V~VDD 工 作 温 度 范 围 :M 类 :-55 ~125 ;E 类 :-40 ~85 模 拟 开 关 CD4051 使 用 的 4 个 要 点 1 使 用 单 电 源 时,CD4051 的 VEE 可 以 和 GND 相 连 2 强 烈 建 议 A,B,C 三 路 片 选 端 要 加 上 拉 电 阻 3 CD4051 的 公 共 输 出 端 不 要 加 滤 波 电 容 ( 并 联 到 地 ), 否 则 不 同 通 道 转 换 后 的 电 压 经 电 容 冲 放 电 后 会 引 起 极 大 的 误 差 4 禁 止 输 出 端 (INH) 为 高 电 平 时, 所 有 输 出 切 断, 所 以 在 应 用 时 此 端 接 地 作 音 频 信 号 切 换 时, 最 好 在 输 入 输 出 端 串 入 隔 直 电 容 2 MAX306 21

22 MAX306 是 美 信 公 司 的 一 款 高 性 能 的 16 通 道 模 拟 多 路 开 关 它 支 持 很 宽 的 电 源 范 围 : 单 电 源 供 电 时 4.5V~30V; 双 电 源 供 电 时 ±4.5V~±20V 22

23 1.6 可 编 程 运 算 放 大 器 1 可 编 程 运 算 放 大 器 X9430 X9430 是 可 编 程 运 算 放 大 器 它 采 用 了 Xicor 公 司 的 精 密 模 拟 技 术 将 模 拟 电 路 数 字 电 路 及 非 挥 发 性 电 路 集 成 到 一 个 芯 片 上, 构 成 了 一 个 新 颖 的 混 合 信 号 器 件 高 增 益 偏 置 及 低 功 耗 可 编 程 X9340 包 括 两 个 通 用 运 算 放 大 器, 可 通 过 串 行 外 围 接 口 (SPI) 或 I2C 串 行 接 口 写 入 控 制 字 对 其 进 行 编 程 X9430 的 技 术 指 标 与 工 业 标 准 的 741,301A 以 及 OP07 相 同 X9430 的 可 编 程 参 数 存 贮 在 非 易 失 存 储 器 中, 这 样 即 使 在 掉 电 时, 也 可 保 存 数 值 同 时 片 内 含 有 16 字 节 E2PROM, 其 中 4 字 节 用 于 存 放 运 放 参 数 23

24 引 脚 描 述 引 脚 描 述 SCK 串 行 时 钟 RW0 - RW1 电 位 计 ( 滑 动 端 ) SI 串 行 输 入 VNI(0,1), VINV(0,1) 输 入 电 压 SO 串 行 输 出 VOUT0, VOUT1 运 放 输 出 A0 - A1 器 件 地 址 WP 硬 件 写 保 护 CS 片 选 V+,V- 运 放 模 拟 电 源 HOLD 保 持 VCC 数 字 信 号 电 源 RH0 - RH1, RL0 - RL1 电 位 计 ( 终 端 ) VSS 数 字 信 号 地 2 可 编 程 低 功 耗 运 算 放 大 器 TLC271 TLC271 是 美 国 TI 公 司 研 制 的 运 算 放 大 器, 其 输 入 阻 抗 高 达 1012kΩ, 而 输 入 失 调 电 压 仅 为 0.1μV/V 因 此, 用 户 可 以 利 用 TLC271 选 择 功 耗 和 交 流 性 能 的 最 佳 组 合 并 用 于 各 种 电 路 中 用 户 在 使 用 偏 置 选 择 时, 可 将 TLC271 与 双 极 - 场 效 应 晶 体 管 以 及 NFET 等 一 起 应 用 于 各 种 电 路, 且 可 选 择 TLC271(10mV) 与 TLC271B(2mV) 之 间 的 各 种 失 调 电 压 级 别 而 高 输 入 阻 抗, 低 位 移 电 流 及 优 越 的 共 模 抑 制 比 和 电 源 电 压 抑 制 比 性 能 则 使 TLC271 成 为 用 户 使 用 不 断 升 级 的 程 序 的 最 佳 选 择 TLC271 的 功 耗 很 小, 具 有 许 多 与 双 级 技 术 相 关 的 性 能 TLC271 可 广 泛 应 用 于 各 种 电 路, 如 转 换 程 序 的 连 接 等 效 计 算 信 号 放 大 块 有 源 滤 波 器 以 及 信 号 缓 存 等 TLC271 可 使 用 直 流 电 源, 故 而 是 应 用 于 遥 控 电 路 和 蓄 电 池 供 电 电 路 的 理 想 选 择 TLC271 有 C- 后 缀 型 装 置 I- 后 缀 型 装 置 和 M- 后 缀 型 装 置 三 种 形 式 下 图 所 示 为 TLC271 的 引 脚 图 工 作 条 件 C- 后 缀 型 I- 后 缀 型 M- 后 缀 型 单 位 最 小 值 最 大 值 最 小 值 最 大 值 最 小 值 最 大 值 电 源 电 压 VDD V 共 模 输 VDD=5V 时 V 入 电 压 VDD=10V 工 作 温 度,TA 电 压 / 电 流 变 换 器 (V/I) 24 在 测 控 系 统 中, 信 号 在 远 距 离 传 输 时, 外 部 干 扰 非 常 严 重 通 常, 采 用 电 压 / 电 流 变 换 器, 将 电 压 信 号 转 换 成 电 流 信 号 (4~20mA) 进 行 传 输, 以 减 弱 信 号 的 衰 减 及 干 扰 因 为

25 传 输 线 上 的 压 降 分 布 电 容 接 触 电 阻 等 对 电 流 信 号 不 起 作 用, 外 部 电 动 机 继 电 器 开 关 等 电 磁 干 扰 也 不 会 影 响 传 输 线 上 的 变 化 将 电 压 变 换 为 电 流 信 号 可 采 用 运 算 放 大 器 来 实 现, 这 里 不 予 讨 论 本 节 主 要 介 绍 集 成 电 压 / 电 流 变 换 器 集 成 电 压 / 电 流 变 换 器 种 类 很 多, 常 用 的 有 XTR101 XTR105 XTR110 AD420 AD421 AD693 AD694 等 本 节 主 要 介 绍 XTR101 XTR101 是 美 国 BB 公 司 的 产 品, 该 芯 片 将 微 弱 的 电 压 信 号 输 入 转 变 为 标 准 的 电 流 信 号 (4~20mA) 输 出 在 -40~+85 温 度 范 围 内 以 12 为 精 度 完 成 电 阻 参 数 到 4~20mA 的 电 流 转 换 XTR101 是 一 种 低 漂 移 双 线 输 入 / 输 出 的 微 电 路 集 成 器 件 它 由 精 密 测 量 放 大 器 压 控 输 出 电 流 源 和 双 匹 配 参 考 电 流 组 成 这 种 结 合 非 常 适 用 于 各 种 传 感 器, 如 热 电 偶 RTD 热 敏 电 阻 和 应 变 计 电 桥 等 的 遥 控 信 号 调 节 其 引 脚 如 下 : 引 脚 号 功 能 描 述 引 脚 号 功 能 描 述 1 2 调 零 9 三 极 管 发 射 级 3 4 电 压 输 入 10 恒 流 1mA 输 出 5 6 量 程 调 节 11 恒 流 1mA 输 出 7 变 换 电 流 输 出 频 带 控 制 8 正 电 源 14 调 零 传 感 器 的 电 压 信 号 由 3 4 叫 输 入 ;5 6 脚 外 接 电 阻 Rs 可 以 调 节 输 出 满 幅 值 ; 脚 外 接 电 位 器 组 成 初 始 调 零 电 路 ;10 11 脚 分 别 输 出 两 个 1mA 恒 流, 可 用 于 传 感 器 供 电 ;8 脚 接 电 源 正 端 ;7 脚 通 过 负 载 电 阻 R L 接 电 源 负 端 ; 脚 可 外 接 NPN 功 率 三 极 管 下 图 为 XTR101 与 铂 热 电 阻 传 感 器 RTD 的 接 线 图 25

26 1.8 模 拟 信 号 放 大 器 随 着 新 技 术 新 工 艺 新 材 料 的 发 展, 集 成 运 放 的 精 度 越 来 越 高, 性 能 指 标 越 来 越 好, 品 种 也 越 来 越 多 集 成 运 放 分 为 通 用 型 ( 如 F007 ua471 等 ) 和 专 用 型 两 类 专 用 型 有 低 漂 移 型 ( 如 DG725 OP07 5G7650 等 ) 高 阻 型 ( 如 LF356 CA3410 5G28 等 ) 及 低 功 耗 型 ( 如 LM4250 ua735) 等 此 外, 还 有 单 电 源 的 集 成 运 放 ( 如 LM324 DG324) 使 用 时 应 根 据 实 际 需 要 选 择 运 放 类 型, 选 择 的 依 据 是 其 性 能 参 数 运 放 的 主 要 参 数 有 : 差 模 输 入 电 阻 输 出 电 阻 输 入 失 调 电 压 电 流 以 及 温 漂 开 环 差 模 增 益 共 模 抑 制 比 和 最 大 输 出 电 压 幅 度 等 集 成 运 算 放 大 器 OP07 由 于 经 传 感 器 变 换 后 的 模 拟 信 号 有 时 是 很 微 弱 的 微 伏 级 信 号 ( 如 热 电 偶 ), 而 通 用 运 放 一 般 都 具 有 毫 伏 级 的 失 调 电 压 和 每 度 数 微 伏 的 温 度 漂 移, 显 然 是 不 能 用 于 放 大 微 弱 信 号 的 在 设 计 中, 需 要 采 用 高 精 度 运 算 放 大 器 或 测 量 放 大 器 下 面 介 绍 一 种 常 用 的 超 低 失 调 电 压 超 低 温 漂 的 典 型 运 算 放 大 器 OP07, 供 设 计 放 大 器 时 使 用 OP07 有 A D C E 各 档, 它 是 高 精 度 运 算 放 大 器, 具 有 极 低 的 失 调 电 压 (10uV) 和 偏 置 电 流 (0.7nA), 它 的 温 漂 系 数 为 0.2uV/, 长 期 稳 定 性 指 标 为 0.2uV/ 月 OP07 具 有 较 高 的 共 模 输 入 范 围 (±14V), 共 模 抑 制 比 CMRR=126dB 以 及 极 宽 的 供 电 电 源 范 围 (3~ 26

27 18V 和 -3~-18V) 1 8 脚 : 调 零 引 脚 ; 2 脚 : 反 向 输 入 端 ; 3 脚 : 同 向 输 入 端 ; 4 脚 : 负 电 源 ; 5 脚 : 空 引 脚 ; 6 脚 : 输 出 引 脚 ; 7 脚 : 正 电 源 ; 8 脚 : 调 零 引 脚 引 脚 图 基 本 连 接 图 测 量 放 大 器 测 量 放 大 器 又 叫 仪 表 放 大 器 ( 简 称 IA) 它 不 仅 能 满 足 放 大 要 求, 而 且 具 有 精 确 的 增 益 标 定, 因 此 又 称 数 据 放 大 器 1. 通 用 IA 通 用 IA 由 三 个 运 算 放 大 器 A1 A2 A3 组 成, 如 图 12-8 所 示 其 中,A1 和 A2 组 27

28 成 具 有 对 称 结 构 的 差 动 输 入 / 输 出 级, 差 模 增 益 为 1+2R1/RG, 而 共 模 增 益 仅 为 1 A3 将 A1 A2 的 差 动 输 出 信 号 转 换 为 单 端 输 出 信 号 A3 的 共 模 抑 制 精 度 取 决 于 四 个 电 阻 R 的 匹 配 精 度 通 用 IA 的 电 压 放 大 倍 数 为 u0 2R1 A u = = ( 1+ ) u u R G 图 通 用 IA 的 结 构 2. IA 的 技 术 指 标 测 量 放 大 器 最 重 要 的 技 术 指 标 有 : 非 线 性 度 偏 置 漂 移 建 立 时 间 以 及 共 模 抑 制 比 等, 这 些 指 标 均 为 放 大 器 增 益 的 函 数 (1) 非 线 性 度 : 它 的 定 义 为 放 大 器 输 出 输 入 实 际 特 性 曲 线 与 理 想 特 性 曲 线 ( 直 线 ) 的 相 对 偏 差 在 增 益 G=1 时, 一 个 12 位 (bit) 系 统 的 非 线 性 度 若 为 ±0.025%, 则 在 G =500 时, 其 非 线 性 度 可 达 ±0.1%, 相 当 于 系 统 精 度 降 低 到 10 倍 以 下 (2) 偏 置 漂 移 : 它 是 指 工 作 温 度 变 化 1 时, 相 应 的 直 流 偏 置 变 化 量 一 个 放 大 器 的 分 辨 率 主 要 被 直 流 偏 置 的 不 可 预 料 性 所 限 制 放 大 器 的 偏 置 漂 移 一 般 为 1~50 μv/, 也 与 增 益 G 有 关 如 一 个 有 2 μv/ 漂 移 的 放 大 器, 当 G=1000 Δt=10 时, 其 输 出 端 将 产 生 20 mv 的 偏 置 电 压 这 个 数 字 相 当 于 12 位 ADC 在 输 入 范 围 为 0~10 V 时 的 八 个 LSB 值 值 得 注 意 的 是, 一 般 厂 家 只 给 出 典 型 值, 而 最 大 值 可 以 是 典 型 值 的 3~4 倍 (3) 建 立 时 间 : 放 大 器 的 建 立 时 间 定 义 为 从 输 入 阶 跃 信 号 起, 到 输 出 电 压 达 到 满 足 给 定 误 差 ( 典 型 值 为 ±0.01%) 的 稳 定 值 为 止 所 需 用 的 时 间 一 般 IA 的 增 益 G>200, 精 度 约 为 ±0.01%, 建 立 时 间 约 为 50~100 μs, 而 高 增 益 IA 在 同 样 精 度 下 的 建 立 时 间 可 达 350 μs 因 此, 在 数 据 采 集 系 统 中 决 定 信 号 传 输 能 力 的 往 往 是 IA 而 不 是 ADC (4) 恢 复 时 间 : 放 大 器 的 恢 复 时 间 是 指 从 断 掉 输 入 IA 的 过 载 信 号 起, 到 IA 的 输 出 信 号 恢 复 至 稳 定 值 时 ( 与 输 入 信 号 相 应 ) 的 时 间 (5) 共 模 抑 制 比 : IA 的 共 模 抑 制 比 定 义 为 差 模 电 压 放 大 倍 数 Ad 与 共 模 电 压 放 大 倍 数 Ac 比 值 的 对 数 单 位, 即 28

29 A CMR = 20lg A 3. 单 片 集 成 测 量 放 大 器 AD620 d c 单 片 机 集 成 测 量 放 大 器 种 类 很 多, 常 用 的 有 AD521 AD526 AD620 AD623 AD624 AD626 等 这 里 介 绍 AD620 AD620 是 只 用 一 个 外 部 电 阻 就 能 设 置 放 大 倍 数 为 1~1000 的 低 功 耗 高 精 度 测 量 放 大 器 它 体 积 小, 为 8 脚 DIP 或 SOIC 封 装, 引 脚 排 列 如 下 : 1 8 脚 : 外 部 电 阻 Rg 接 入 端, 用 于 设 置 放 大 倍 数 ; 2 3 脚 : 模 拟 信 号 输 入 端 ; 6 脚 : 放 大 器 输 出 端 ; 5 脚 : 参 考 地 ; 7 脚 : 正 电 源 输 入 端, 如 接 +12V; 4 脚 : 负 电 源 输 入 端, 如 接 -12V AD620 主 要 特 点 如 下 : (1) 只 用 一 只 外 部 电 阻 ( 跨 接 在 1 脚 和 8 脚 之 间 ) 就 能 设 置 放 大 倍 数 G=1~1000; (2) 低 失 调 电 压 低 失 调 电 压 漂 移 和 低 噪 声 性 能, 确 保 高 增 益 精 密 放 大 它 的 最 大 输 入 失 调 电 压 为 50uV, 最 大 输 入 失 调 电 压 漂 移 为 1uV/, 最 大 输 入 偏 置 电 流 为 2nA G=10 时, 共 模 抑 制 比 大 于 93dB; (3) 低 功 耗, 供 电 电 压 范 围 为 2.3~±18V, 最 大 供 电 电 流 仅 为 1.3mA; (4) 体 积 小, 只 有 8 个 引 脚 29

30 第 2 章 存 储 器 类 型 及 扩 展 2.1 基 础 知 识 1 半 导 体 存 储 器 的 类 型 半 导 体 存 储 器 按 制 造 工 艺 分, 可 分 为 双 极 型 和 MOS 型 两 大 类 ; 按 存 取 方 式 分, 又 可 分 为 随 机 存 取 存 储 器 RAM 和 只 读 存 储 器 ROM 两 大 类 ;RAM 根 据 存 储 电 路 的 性 质 不 同, 又 可 分 为 静 态 RAM(SRAM) 和 动 态 RAM(DRAM),ROM 按 其 性 能 不 同, 又 可 分 为 掩 模 式 ROM 熔 炼 式 可 编 程 PROM 可 用 紫 外 线 擦 除 可 编 程 的 EPROM 和 可 用 电 擦 除 可 编 程 的 E 2 PROM 目 前 大 容 量 存 储 器 主 要 采 用 闪 存 芯 片 2 半 导 体 存 储 器 的 主 要 特 点 及 主 要 性 能 指 标 半 导 体 存 储 器 具 有 体 积 小 速 度 快 耗 电 少 价 格 低 的 优 点 半 导 体 存 储 器 主 要 有 以 下 几 个 主 要 性 能 指 标 : (1) 存 储 容 量 : 存 储 器 所 能 存 储 二 进 制 数 码 的 数 量, 即 所 含 存 储 元 的 总 数 (2) 存 取 时 间 ( 读 写 周 期 ): 从 启 动 一 次 存 储 器 操 作 到 完 成 该 操 作 所 经 历 的 时 间 (3) 功 耗 : 每 个 存 储 元 消 耗 功 率 的 大 小 (4) 可 靠 性 ; 对 电 磁 场 及 温 度 变 化 等 的 抗 干 扰 能 力 3 试 比 较 动 态 RAM 与 静 态 RAM 的 优 缺 点? 动 态 RAM 集 成 度 高 功 耗 低 价 格 低 ; 但 由 于 它 是 以 电 容 上 的 电 荷 存 储 信 息, 必 须 定 时 刷 新, 所 以 接 口 电 路 比 较 复 杂 ; 静 态 RAM 速 度 快, 但 由 于 是 用 双 稳 电 路 存 储 信 息, 集 成 度 较 低 功 耗 较 大 成 本 较 高 4 设 计 存 储 器 接 口 应 考 虑 哪 些 主 要 问 题? 在 设 计 存 储 器 接 口 时 除 了 要 考 虑 存 储 器 的 地 址 空 间 外, 还 要 考 虑 存 储 器 与 CPU 的 时 序 配 合 问 题 : 慢 速 存 储 器 要 能 够 向 CPU 申 请 延 长 总 线 传 输 周 期 ; CPU 总 线 的 负 载 能 力 : 大 系 统 中, 考 虑 到 总 线 驱 动 能 力 不 够, 需 要 在 接 口 中 加 入 驱 动 器 / 缓 冲 器 ; 存 储 芯 片 的 选 择 : 选 择 芯 片 类 型 时 根 据 存 储 信 息 类 型 的 不 同 决 定 选 择 RAM 或 ROM; 选 择 芯 片 具 体 型 号 时, 在 满 足 容 量 要 求 的 情 况 下, 尽 量 选 择 容 量 大 集 成 度 高 的 芯 片 5 当 CPU 与 低 速 存 储 器 接 口 时, 通 常 采 用 什 么 方 法 进 行 速 度 匹 配? 举 例 说 明 当 CPU 与 低 速 存 储 器 接 口 时, 通 常 由 低 速 存 储 器 向 CPU 发 出 等 待 申 请 信 号, 使 CPU 在 正 常 的 读 / 写 周 期 之 外 再 插 入 一 个 或 几 个 等 待 周 期, 这 样 就 使 指 令 的 时 钟 周 期 数 增 加 了 30

31 例 如, 在 8086CPU 的 引 脚 上 提 供 了 一 根 READY 信 号,CPU 在 每 个 总 线 周 期 的 T3 时 钟 周 期 和 插 入 的 等 待 周 期 TW 中 检 测 READY, 若 READY=0, 就 在 T3 或 当 前 的 TW 之 后 插 入 一 个 等 待 周 期, 在 等 待 周 期 中 继 续 检 测 READY 信 号 所 以 慢 速 存 储 器 在 与 CPU 接 口 时, 只 要 能 在 T3 中 (CPU 检 测 前 ) 使 READY=0, 就 可 以 让 CPU 延 长 总 线 传 输 周 期 通 过 控 制 READY 维 持 为 低 电 平 的 时 间 长 短 可 以 控 制 插 入 等 待 周 期 的 个 数 6 存 储 芯 片 的 选 择 与 接 口 电 路 有 何 关 系? 挑 选 时 应 注 意 哪 些 问 题? 存 储 芯 片 的 选 用 和 存 储 器 接 口 设 计 直 接 相 关 : 不 同 类 型 不 同 型 号 的 芯 片 构 成 的 存 储 器, 其 接 口 方 法 和 复 杂 程 度 都 不 同 在 选 择 时 一 般 要 根 据 存 储 器 的 存 放 对 象 总 体 性 能 芯 片 类 型 和 特 征 等 方 面 综 合 考 虑 7 片 选 控 制 译 码 有 哪 几 种 常 用 方 法? 其 中 哪 几 种 方 法 存 在 地 址 重 叠 问 题? 片 选 控 制 译 码 有 线 选 法 全 译 码 法 部 分 译 码 法 和 混 合 译 码 法 其 中 线 选 法 部 分 译 码 法 和 混 合 译 码 法 都 存 在 地 址 重 叠 的 问 题 8 用 位 的 RAM 芯 片 组 成 16K 8 位 的 存 储 器, 需 要 多 少 个 芯 片? 分 为 多 少 组? 共 需 多 少 根 地 址 线? 地 址 线 如 何 分 配? 试 画 出 与 CPU 的 连 接 框 图 用 位 的 RAM 芯 片 构 成 16K 8 位 的 存 储 器, 共 需 要 16 8=128 片 ;8 片 为 一 组, 共 分 为 16 组 ; 共 需 要 14 根 地 址 线 ; 其 中 低 10 根 作 低 位 地 址, 实 现 片 内 单 元 的 选 择, 高 4 位 进 行 译 码, 产 生 片 选 信 号, 从 16 组 中 选 中 一 组 作 为 当 前 读 写 操 作 的 对 象 首 先 进 行 芯 片 扩 展, 由 8 片 位 的 芯 片 组 成 一 个 位 的 芯 片 组, 除 数 据 线 之 外, 将 一 组 中 8 个 芯 片 的 同 名 引 脚 连 在 一 起 ( 包 括 : 低 位 地 址 A0~9 读 写 控 制 信 号 片 选 信 号 ), 如 图 a 所 示 : 然 后 将 CPU 的 存 储 器 读 写 控 制 信 号 与 芯 片 组 的 读 写 控 制 相 连 ; 低 位 地 址 A0~9 与 芯 片 组 的 低 位 地 址 A0~9 相 连 ; 再 设 计 译 码 电 路, 产 生 16 个 译 码 输 出 信 号, 分 别 与 16 组 的 片 选 信 号 相 连, 如 图 (b) 所 示 WE 1K 1 WE (8) WE 1K 1 1K 1 (2) CS (1) D CS CS D D D 7 D 1 D 0 (a) 芯 片 扩 展 31

32 A 0 ~9 D 0 ~7 A 13 A 12 A 11 A 10 MEMW D C B A 4-16 译 码 器 Y 0 Y 1 Y 15 A 0 ~9 A 0 ~9 A 0 ~9 D 0 ~7 D 0 ~7 D 0 ~7 1K 8 1K 8 1K 8 CS CS 芯 片 组 芯 片 组 CS 芯 片 组 WE (1) WE (2) (16) WE (b)cpu 与 芯 片 组 的 接 口 9 DRAM 接 口 电 路 与 SRAM 接 口 电 路 的 主 要 区 别 是 什 么? DRAM 和 SRAM 相 比, 由 于 存 储 原 理 和 芯 片 结 构 上 的 区 别, 使 之 在 与 CPU 接 口 时 有 两 个 特 殊 的 问 题 要 考 虑 : 一 是 由 于 DRAM 芯 片 中 的 存 储 元 是 靠 栅 极 电 容 上 的 电 荷 存 储 信 息 的, 时 间 一 长, 信 息 就 会 丢 失, 所 以 必 须 定 时 刷 新 ; 二 是 由 于 DRAM 芯 片 集 成 度 高, 存 储 容 量 大, 使 得 引 脚 数 量 不 够 用, 所 以 地 址 输 入 一 般 采 用 两 路 复 用 锁 存 方 式 10 DRAM 控 制 器 一 般 由 哪 几 个 主 要 部 分 组 成? 各 自 功 能 是 什 么? DRAM 控 制 器 的 组 成, 及 各 部 分 的 主 要 功 能 如 下 : (1) 地 址 多 路 开 关 : 把 来 自 CPU 的 地 址 转 换 成 行 地 址 和 列 地 址 分 两 次 送 出 ; (2) 刷 新 定 时 器 : 定 时 提 出 刷 新 请 求 ; (3) 刷 新 地 址 计 数 器 : 提 供 刷 新 地 址, 每 刷 新 一 行, 计 数 器 自 动 加 1, 全 部 行 刷 新 一 遍 后 自 动 回 零 ; (4) 仲 裁 电 路 : 当 来 自 CPU 的 访 问 存 储 器 请 求 和 来 自 刷 新 定 时 器 的 刷 新 请 求 同 时 产 生 时, 对 二 者 的 优 先 权 进 行 裁 定 ; (5) 时 序 发 生 器 : 提 供 行 地 址 选 通 信 号 RAS 列 地 址 选 通 信 号 和 CAS 写 允 许 信 号 WE 11 当 构 成 存 储 器 的 存 储 芯 片 容 量 不 一 致 时, 如 何 进 行 地 址 译 码 电 路 设 计? 举 例 说 明 当 构 成 存 储 器 的 存 储 芯 片 容 量 不 一 致 时, 有 两 种 方 法 可 共 选 择 一 是 用 各 自 的 译 码 电 路 分 别 译 码 产 生 各 自 的 片 选 信 号 ; 二 是 分 两 次 译 码 来 实 现 实 际 中 采 用 第 2 种 方 法 居 多, 这 种 方 法 首 先 按 芯 片 容 量 大 的 进 行 一 次 译 码, 将 一 部 分 输 出 作 为 大 容 量 芯 片 的 片 选 信 号 ; 另 外 一 部 分 输 出 则 与 其 他 相 关 地 址 一 起 进 行 二 次 译 码, 产 生 小 容 量 芯 片 的 片 选 信 号 例 如 : 用 2KB 的 ROM 和 1KB 的 RAM 构 成 4KB 的 ROM(0000H~0FFFH) 和 4KB 的 RAM(2000H~ 2FFFH), 设 系 统 有 16 根 地 址 线, 则 芯 片 选 择 信 号 的 产 生 如 图 所 示 : 32

33 12 Cache 结 构 中, 地 址 索 引 机 构 的 作 用 是 什 么? 一 般 用 什 么 构 成? 地 址 索 引 机 构 中 存 放 着 与 高 速 缓 冲 存 储 器 中 内 容 相 关 的 高 位 地 址, 当 访 问 Cache 命 中 时, 用 来 和 地 址 总 线 上 的 低 位 地 址 一 起 形 成 访 问 Cache 的 地 址 为 了 保 证 Cache 机 构 访 问 的 快 速 性, 地 址 索 引 机 构 一 般 采 用 按 内 容 存 取 的 相 联 存 储 器 (CAM), 它 是 一 种 TTL 器 件, 本 身 读 写 的 时 间 延 迟 极 小, 且 全 部 比 较 一 次 完 成 2.2 闪 存 NOR 和 NAND 是 现 在 市 场 上 两 种 主 要 的 非 易 失 闪 存 技 术 Intel 于 1988 年 首 先 开 发 出 NOR flash 技 术, 彻 底 改 变 了 原 先 由 EPROM 和 EEPROM 一 统 天 下 的 局 面 紧 接 着, 1989 年, 东 芝 公 司 发 表 了 NAND flash 结 构, 强 调 降 低 每 比 特 的 成 本, 更 高 的 性 能, 并 且 象 磁 盘 一 样 可 以 通 过 接 口 轻 松 升 级 但 是 经 过 了 十 多 年 之 后, 仍 然 有 相 当 多 的 硬 件 工 程 师 分 不 清 NOR 和 NAND 闪 存 相 flash 存 储 器 经 常 可 以 与 相 NOR 存 储 器 互 换 使 用 许 多 业 内 人 士 也 搞 不 清 楚 NAND 闪 存 技 术 相 对 于 NOR 技 术 的 优 越 之 处, 因 为 大 多 数 情 况 下 闪 存 只 是 用 来 存 储 少 量 的 代 码, 这 时 NOR 闪 存 更 适 合 一 些 而 NAND 则 是 高 数 据 存 储 密 度 的 理 想 解 决 方 案 NOR 的 特 点 是 芯 片 内 执 行 (XIP, execute In Place), 这 样 应 用 程 序 可 以 直 接 在 flash 闪 存 内 运 行, 不 必 再 把 代 码 读 到 系 统 RAM 中 NOR 的 传 输 效 率 很 高, 在 1~4MB 的 小 容 量 时 具 有 很 高 的 成 本 效 益, 但 是 很 低 的 写 入 和 擦 除 速 度 大 大 影 响 了 它 的 性 能 NAND 结 构 能 提 供 极 高 的 单 元 密 度, 可 以 达 到 高 存 储 密 度, 并 且 写 入 和 擦 除 的 速 度 也 很 快 应 用 NAND 的 困 难 在 于 flash 的 管 理 和 需 要 特 殊 的 系 统 接 口 1 性 能 比 较 flash 闪 存 是 非 易 失 存 储 器, 可 以 对 称 为 块 的 存 储 器 单 元 块 进 行 擦 写 和 再 编 程 任 何 flash 器 件 的 写 入 操 作 只 能 在 空 或 已 擦 除 的 单 元 内 进 行, 所 以 大 多 数 情 况 下, 在 进 行 写 入 操 作 之 前 必 须 先 执 行 擦 除 NAND 器 件 执 行 擦 除 操 作 是 十 分 简 单 的, 而 NOR 则 要 求 在 进 行 擦 除 前 先 要 将 目 标 块 内 所 有 的 位 都 写 为 0 由 于 擦 除 NOR 器 件 时 是 以 64~128KB 的 块 进 行 的, 执 行 一 个 写 入 / 擦 除 操 作 的 时 间 为 5s, 与 此 相 反, 擦 除 NAND 器 件 是 以 8~32KB 的 块 进 行 的, 执 行 相 同 的 操 作 最 多 只 需 要 4ms 33

34 执 行 擦 除 时 块 尺 寸 的 不 同 进 一 步 拉 大 了 NOR 和 NADN 之 间 的 性 能 差 距, 统 计 表 明, 对 于 给 定 的 一 套 写 入 操 作 ( 尤 其 是 更 新 小 文 件 时 ), 更 多 的 擦 除 操 作 必 须 在 基 于 NOR 的 单 元 中 进 行 这 样, 当 选 择 存 储 解 决 方 案 时, 设 计 师 必 须 权 衡 以 下 的 各 项 因 素 NOR 的 读 速 度 比 NAND 稍 快 一 些 NAND 的 写 入 速 度 比 NOR 快 很 多 NAND 的 4ms 擦 除 速 度 远 比 NOR 的 5s 快 大 多 数 写 入 操 作 需 要 先 进 行 擦 除 操 作 NAND 的 擦 除 单 元 更 小, 相 应 的 擦 除 电 路 更 少 2 接 口 差 别 NOR flash 带 有 SRAM 接 口, 有 足 够 的 地 址 引 脚 来 寻 址, 可 以 很 容 易 地 存 取 其 内 部 的 每 一 个 字 节 NAND 器 件 使 用 复 杂 的 I/O 口 来 串 行 地 存 取 数 据, 各 个 产 品 或 厂 商 的 方 法 可 能 各 不 相 同 8 个 引 脚 用 来 传 送 控 制 地 址 和 数 据 信 息 NAND 读 和 写 操 作 采 用 512 字 节 的 块, 这 一 点 有 点 像 硬 盘 管 理 此 类 操 作, 很 自 然 地, 基 于 NAND 的 存 储 器 就 可 以 取 代 硬 盘 或 其 他 块 设 备 3 容 量 和 成 本 NAND flash 的 单 元 尺 寸 几 乎 是 NOR 器 件 的 一 半, 由 于 生 产 过 程 更 为 简 单,NAND 结 构 可 以 在 给 定 的 模 具 尺 寸 内 提 供 更 高 的 容 量, 也 就 相 应 地 降 低 了 价 格 NOR flash 占 据 了 容 量 为 1~16MB 闪 存 市 场 的 大 部 分, 而 NAND flash 只 是 用 在 8~ 128MB 的 产 品 当 中, 这 也 说 明 NOR 主 要 应 用 在 代 码 存 储 介 质 中,NAND 适 合 于 数 据 存 储, NAND 在 CompactFlash Secure Digital PC Cards 和 MMC 存 储 卡 市 场 上 所 占 份 额 最 大 4 可 靠 性 和 耐 用 性 采 用 flash 介 质 时 一 个 需 要 重 点 考 虑 的 问 题 是 可 * 性 对 于 需 要 扩 展 MTBF 的 系 统 来 说, Flash 是 非 常 合 适 的 存 储 方 案 可 以 从 寿 命 ( 耐 用 性 ) 位 交 换 和 坏 块 处 理 三 个 方 面 来 比 较 NOR 和 NAND 的 可 靠 性 1) 寿 命 ( 耐 用 性 ) 在 NAND 闪 存 中 每 个 块 的 最 大 擦 写 次 数 是 一 百 万 次, 而 NOR 的 擦 写 次 数 是 十 万 次 NAND 存 储 器 除 了 具 有 10 比 1 的 块 擦 除 周 期 优 势, 典 型 的 NAND 块 尺 寸 要 比 NOR 器 件 小 8 倍, 每 个 NAND 存 储 器 块 在 给 定 的 时 间 内 的 删 除 次 数 要 少 一 些 2) 位 交 换 所 有 flash 器 件 都 受 位 交 换 现 象 的 困 扰 在 某 些 情 况 下 ( 很 少 见,NAND 发 生 的 次 数 要 比 NOR 多 ), 一 个 比 特 位 会 发 生 反 转 或 被 报 告 反 转 了 一 位 的 变 化 可 能 不 很 明 显, 但 是 如 果 发 生 在 一 个 关 键 文 件 上, 这 个 小 小 的 故 障 可 能 导 致 系 统 停 机 如 果 只 是 报 告 有 问 题, 多 读 几 次 就 可 能 解 决 了 当 然, 如 果 这 个 位 真 的 改 变 了, 就 必 须 采 用 错 误 探 测 / 错 误 更 正 (EDC/ECC) 算 法 位 反 转 的 问 题 更 多 见 于 NAND 闪 存,NAND 的 供 应 商 建 议 使 用 NAND 闪 存 的 时 候, 同 时 使 用 EDC/ECC 算 法 34

35 这 个 问 题 对 于 用 NAND 存 储 多 媒 体 信 息 时 倒 不 是 致 命 的 当 然, 如 果 用 本 地 存 储 设 备 来 存 储 操 作 系 统 配 置 文 件 或 其 他 敏 感 信 息 时, 必 须 使 用 EDC/ECC 系 统 以 确 保 可 * 性 3) 坏 块 处 理 NAND 器 件 中 的 坏 块 是 随 机 分 布 的 以 前 也 曾 有 过 消 除 坏 块 的 努 力, 但 发 现 成 品 率 太 低, 代 价 太 高, 根 本 不 划 算 NAND 器 件 需 要 对 介 质 进 行 初 始 化 扫 描 以 发 现 坏 块, 并 将 坏 块 标 记 为 不 可 用 在 已 制 成 的 器 件 中, 如 果 通 过 可 * 的 方 法 不 能 进 行 这 项 处 理, 将 导 致 高 故 障 率 5 易 于 使 用 可 以 非 常 直 接 地 使 用 基 于 NOR 的 闪 存, 可 以 像 其 他 存 储 器 那 样 连 接, 并 可 以 在 上 面 直 接 运 行 代 码 由 于 需 要 I/O 接 口,NAND 要 复 杂 得 多 各 种 NAND 器 件 的 存 取 方 法 因 厂 家 而 异 在 使 用 NAND 器 件 时, 必 须 先 写 入 驱 动 程 序, 才 能 继 续 执 行 其 他 操 作 向 NAND 器 件 写 入 信 息 需 要 相 当 的 技 巧, 因 为 设 计 师 绝 不 能 向 坏 块 写 入, 这 就 意 味 着 在 NAND 器 件 上 自 始 至 终 都 必 须 进 行 虚 拟 映 射 6 软 件 支 持 当 讨 论 软 件 支 持 的 时 候, 应 该 区 别 基 本 的 读 / 写 / 擦 操 作 和 高 一 级 的 用 于 磁 盘 仿 真 和 闪 存 管 理 算 法 的 软 件, 包 括 性 能 优 化 在 NOR 器 件 上 运 行 代 码 不 需 要 任 何 的 软 件 支 持, 在 NAND 器 件 上 进 行 同 样 操 作 时, 通 常 需 要 驱 动 程 序, 也 就 是 内 存 技 术 驱 动 程 序 (MTD),NAND 和 NOR 器 件 在 进 行 写 入 和 擦 除 操 作 时 都 需 要 MTD 使 用 NOR 器 件 时 所 需 要 的 MTD 要 相 对 少 一 些, 许 多 厂 商 都 提 供 用 于 NOR 器 件 的 更 高 级 软 件, 这 其 中 包 括 M-System 的 TrueFFS 驱 动, 该 驱 动 被 Wind River System Microsoft QNX Software System Symbian 和 Intel 等 厂 商 所 采 用 驱 动 还 用 于 对 DiskOnChip 产 品 进 行 仿 真 和 NAND 闪 存 的 管 理, 包 括 纠 错 坏 块 处 理 和 损 耗 平 衡 2.3 闪 存 卡 闪 存 卡 (Flash Memory Card) 是 利 用 闪 存 (Flash Memory) 技 术 达 到 存 储 电 子 信 息 的 存 储 器, 一 般 应 用 在 手 机, 数 码 相 机, 掌 上 电 脑,MP3,MP4,GPS 等 小 型 数 码 产 品 中 作 为 存 储 介 质, 所 以 样 子 小 巧, 有 如 一 张 卡 片, 所 以 称 之 为 闪 存 卡 根 据 接 口 标 准 的 不 同, 闪 存 卡 大 概 有 SmartMedia(SM 卡 ) Compact Flash(CF 卡 ) MultiMediaCard (MMC 卡 ) Secure Digital(SD 卡 ) Memory Stick( 记 忆 棒 ) XD-Picture Card(XD 卡 ) 这 些 闪 存 卡 虽 然 外 观 尺 寸 各 异 但 是 存 储 的 基 本 技 术 原 理 都 是 相 同 的 尺 寸 和 规 格, 可 以 参 考 下 表 : 35

36 2.3.1 SD 卡 SD 卡 (Secure Digital Memory Card) 是 一 种 基 于 半 导 体 快 闪 记 忆 器 的 新 一 代 记 忆 设 备 SD 卡 由 日 本 松 下 东 芝 及 美 国 SanDisk 公 司 于 1999 年 8 月 共 同 开 发 研 制 拥 有 高 记 忆 容 量 快 速 数 据 传 输 率 极 大 的 移 动 灵 活 性 以 及 很 好 的 安 全 性 相 比 CF 卡,SD 卡 要 小 得 多, 它 的 外 形 跟 邮 票 差 不 多 大 小, 所 以 使 用 SD 卡 的 数 码 单 反 更 容 易 实 现 小 型 化 目 前, SD 卡 已 经 在 消 费 类 数 码 相 机 取 得 了 绝 对 的 市 场 占 有 率 ( 除 了 索 尼 和 奥 林 巴 斯 的 数 码 相 机, 其 他 品 牌 的 数 码 相 机 都 采 用 SD 卡 作 为 存 储 介 质 ), 而 在 数 码 单 反 领 域, 使 用 SD 卡 的 数 码 单 反 也 越 来 越 多, 尤 其 是 小 型 数 码 单 反 都 改 用 SD 卡 作 为 存 储 介 质 1 结 构 SD 记 忆 卡 具 有 机 械 式 写 入 保 护 开 关, 以 免 至 关 重 要 的 数 据 被 意 外 丢 失 卡 两 侧 的 导 槽 可 防 止 其 插 反 了 方 向, 一 个 凹 口 可 防 止 器 械 掉 落 或 撞 击 时, 卡 跳 出 其 插 孔 肋 条 可 保 护 金 属 触 点, 以 减 少 静 电 所 引 起 的 损 坏 可 能 性, 或 触 碰 损 坏, 如 擦 伤 等 为 了 与 多 媒 体 卡 向 上 兼 容,1.4mm 导 槽 可 保 护 插 孔, 并 可 接 受 SD 记 忆 卡 或 多 媒 体 卡 2 容 量 SD 卡 由 于 其 体 积 较 小, 所 以 在 同 一 时 期, 其 最 大 容 量 总 是 落 后 于 CF 卡 目 前,SD 卡 的 主 流 容 量 在 1GB~4GB, 而 目 前 市 面 上 销 售 的 最 大 容 量 的 SD 卡 则 达 到 了 8GB 当 然, 36

37 8GB 远 不 是 SD 卡 能 够 达 到 的 容 量 极 限, 未 来 还 会 出 现 容 量 更 大 的 SD 卡 3 兼 容 性 SD 卡 分 为 两 种, 一 种 是 标 准 SD 卡, 另 一 种 则 是 SDHC 卡 SDHC 中 的 HC 是 High Capacity( 高 容 量 ) 的 缩 写, 其 主 要 特 点 是 : 采 用 FAT32 分 区, 所 以 可 以 制 造 超 过 4GB 容 量 的 存 储 卡 ( 标 准 SD 卡 最 大 容 量 为 4GB, 而 SDHC 卡 的 容 量 则 从 4GB 开 始 起 步 ) SDHC 与 标 准 SD 卡 外 形 尺 寸 完 全 相 同, 但 由 于 它 采 用 了 FAT32 分 区, 所 以, 并 不 是 能 使 用 SD 卡 的 数 码 单 反 都 支 持 SDHC 标 准 ( 某 些 较 老 的 支 持 SD 卡 的 数 码 相 机 可 能 只 支 持 较 传 统 SD 卡 使 用 的 FAT12/16 分 区 格 式 但 是, 随 着 SDHC 的 普 及, 新 出 品 的 数 码 单 反 都 对 其 提 供 了 良 好 的 支 持 SDHC 卡 在 存 储 卡 的 包 装 和 标 签 上 都 提 供 了 显 著 的 标 识, 所 以 识 别 起 来 并 不 困 难 而 且,SD 卡 与 MMC 卡 保 持 着 向 上 兼 容, 也 就 是 说,MMC 可 以 被 新 的 SD 设 备 存 取, 兼 容 性 则 取 决 于 应 用 软 件, 但 SD 卡 却 不 可 以 被 MMC 设 备 存 取 (SD 卡 外 型 采 用 了 与 MMC 厚 度 一 样 的 导 轨 式 设 计, 以 使 SD 设 备 可 以 适 合 MMC) 另 外, 手 机 上 使 用 的 Mini SD 和 Micro SD( 又 叫 TransFlash,TF 卡 ) 可 以 视 为 SD 卡 的 一 种 变 形, 它 们 都 是 SD 卡 的 一 种 微 缩 版 本, 加 上 各 自 的 适 配 器 即 可 和 SD 卡 保 持 完 全 的 兼 容 4 速 度 SD 卡 的 速 度 表 示 方 法 跟 CF 卡 一 样, 也 是 以 倍 数 作 为 衡 量 标 准 而 对 于 SDHC 卡, 还 定 义 了 一 种 新 的 速 度 表 示 方 法 SDHC 包 括 CLASS2 4 6 三 种 规 格, 其 中,CLASS2 表 示 其 写 入 速 度 不 低 于 2MB/s,CLASS4 则 表 示 写 入 速 度 不 低 于 4MB/s, 以 此 类 推 尽 管 这 个 速 度 看 上 去 并 不 是 非 常 快, 但 需 要 注 意 的 是, 这 是 最 低 写 入 速 度, 而 不 是 最 快 的 写 入 速 度, 所 以 是 一 种 非 常 保 守 的 表 示 方 法 其 中, 最 高 档 的 CLASS6 的 SDHC 卡 主 要 针 对 专 业 级 的 数 码 单 反 设 计, 在 高 速 连 拍 下 尤 其 能 发 挥 威 力 CF 卡 CF 卡 (Compact Flash card) 是 1994 年 由 SanDisk 最 先 推 出 的 CF 卡 具 有 PCMCIA-ATA 功 能, 并 与 之 兼 容 ;CF 卡 重 量 只 有 14g, 仅 纸 板 火 柴 般 大 小 (42.8mm x 36.4mm x 3.3mm), 是 一 种 固 态 存 储 产 品, 也 就 是 工 作 时 没 有 运 动 部 件 CF 卡 采 用 闪 存 (flash memory) 技 术, 是 一 种 稳 定 的 存 储 解 决 方 案, 不 需 要 电 池 来 维 持 其 中 存 储 的 数 据 对 所 保 存 的 数 据 来 说, CF 卡 比 传 统 的 磁 盘 驱 动 器 安 全 性 和 保 护 性 都 更 高 ; 比 传 统 的 磁 盘 驱 动 器 及 Ⅲ 型 PC 卡 的 可 靠 性 高 5 到 10 倍, 而 且 CF 卡 的 用 电 量 仅 为 小 型 磁 盘 驱 动 器 的 5% 这 些 优 异 的 条 件 使 得 大 多 数 数 码 相 机 选 择 CF 卡 作 为 其 首 选 存 储 介 质 虽 然 最 初 CF 卡 是 采 用 Flash Memory 的 存 贮 卡, 但 随 着 CF 卡 的 发 展, 各 种 采 用 CF 卡 规 格 的 非 Flash Memory 卡 也 开 始 出 现,CFA(CF 标 准 协 会 ) 后 来 又 发 展 出 了 CF+ 的 规 格, 使 CF 卡 的 范 围 扩 展 到 非 Flash Memory 的 其 它 领 域, 包 括 其 它 I/O 设 备 和 磁 盘 存 贮 器, 以 及 一 个 更 新 物 理 规 格 的 Type II 规 格 (IBM 的 Microdrive 就 是 Type II 的 CF 卡 ),Type II 和 原 来 的 Type I 相 比 不 同 之 处 在 于 Type II 厚 度 为 5mm 37

38 CF 卡 同 时 支 持 3.3 伏 和 5 伏 的 电 压, 任 何 一 张 CF 卡 都 可 以 在 这 两 种 电 压 下 工 作, 这 使 得 它 具 有 广 阔 的 使 用 范 围 CF 存 贮 卡 的 兼 容 性 还 表 现 在 它 把 Flash Memory 存 贮 模 块 与 控 制 器 结 合 在 一 起, 这 样 使 用 CF 卡 的 外 部 设 备 就 可 以 做 得 比 较 简 单, 而 且 不 同 的 CF 卡 都 可 以 用 单 一 的 机 构 来 读 写, 不 用 担 心 兼 容 性 问 题, 特 别 是 CF 卡 升 级 换 代 时 也 可 以 保 证 旧 设 备 的 兼 容 性 CF 卡 有 相 当 多 的 平 台 支 持, 包 括 DOS,Windows 3.x,Windows 95,Windows 98, Windows CE,OS/2,Apple System 7,Linux 和 许 多 种 UNIX 都 能 够 支 持 CF 卡 作 为 世 界 范 围 内 的 存 储 行 业 标 准, 保 证 CF 产 品 的 兼 容, 保 证 CF 卡 的 向 后 兼 容 性 ; 随 着 CF 卡 越 来 越 被 广 泛 应 用, 各 厂 商 积 极 提 高 CF 卡 的 技 术, 促 进 新 一 代 体 小 质 轻 低 能 耗 先 进 移 动 设 备 的 推 出, 进 而 提 高 工 作 效 率 CFA 总 部 在 加 拿 大 的 Palo Alto, 其 成 员 有 权 免 费 得 到 CF 卡 CF 商 标 和 CF 技 术 详 情 CFA 成 员 包 括 3COM, 佳 能 柯 达 惠 普 日 立 IBM 松 下 摩 托 罗 拉 NEC SanDisk 精 工 ( 爱 普 生 ) 和 Socket Communications 等 120 多 个 而 且 其 中 的 主 要 数 码 相 机 生 产 研 发 厂 商 已 经 成 立 了 一 个 专 门 组 织, 从 事 于 CF 产 品 的 开 发 目 前 主 流 的 CF 卡 都 支 持 CF4.0 及 以 上 的 标 准, 最 高 容 量 做 到 了 32GB, 而 且 很 多 都 支 持 PIO6 和 UDMA6 的 传 送 模 式, 最 高 可 以 达 到 300X 的 读 写 速 度 ; 这 个 速 度 应 该 是 存 储 卡 里 面 最 出 色 的 速 度 了 CF 卡 有 以 下 缺 点 : 1) 体 积 较 大 与 其 他 种 类 的 存 储 卡 相 比,CF 卡 的 体 积 略 微 偏 大, 这 也 限 制 了 使 用 CF 卡 的 数 码 相 机 体 积, 所 以 现 下 流 行 的 超 薄 数 码 相 机 大 多 放 弃 了 CF 卡, 而 改 用 体 积 更 为 小 巧 的 SD 卡 2) 功 耗 较 高 相 对 其 他 几 种 规 格 的 存 储 卡,CF 卡 的 耗 电 最 大, 通 常 都 在 100mA 左 右 38

39 第 3 章 开 关 电 源 技 术 3.1 开 关 电 源 原 理 开 关 电 源 就 是 用 通 过 电 路 控 制 开 关 管 进 行 高 速 的 导 通 与 截 止. 将 直 流 电 转 化 为 高 频 率 的 交 流 电 提 供 给 变 压 器 进 行 变 压, 从 而 产 生 所 需 要 的 一 组 或 多 组 电 压 的 电 源 开 关 电 源 由 以 下 几 个 部 分 组 成 : 一 主 电 路 从 交 流 电 网 输 入 直 流 输 出 的 全 过 程, 包 括 : 1 输 入 滤 波 器 : 其 作 用 是 将 电 网 存 在 的 杂 波 过 滤, 同 时 也 阻 碍 本 机 产 生 的 杂 波 反 馈 到 公 共 电 网 2 整 流 与 滤 波 : 将 电 网 交 流 电 源 直 接 整 流 为 较 平 滑 的 直 流 电, 以 供 下 一 级 变 换 3 逆 变 : 将 整 流 后 的 直 流 电 变 为 高 频 交 流 电, 这 是 高 频 开 关 电 源 的 核 心 部 分, 频 率 越 高, 体 积 重 量 与 输 出 功 率 之 比 越 小 4 输 出 整 流 与 滤 波 : 根 据 负 载 需 要, 提 供 稳 定 可 靠 的 直 流 电 源 二 控 制 电 路 一 方 面 从 输 出 端 取 样, 经 与 设 定 标 准 进 行 比 较, 然 后 去 控 制 逆 变 器, 改 变 其 频 率 或 脉 宽, 达 到 输 出 稳 定. 开 关 电 源 省 去 了 笨 重 的 变 压 器 具 有 体 积 小, 效 率 高, 成 本 低 广 泛 用 于 各 种 电 子 设 备 中 的 电 源 转 化 为 高 频 交 流 电 的 原 因 是 高 频 交 流 在 变 压 器 变 压 电 路 中 的 效 率 要 比 50Hz 高 很 多. 所 以 开 关 变 压 器 可 以 做 的 很 小, 而 且 工 作 时 不 是 很 热! 成 本 很 低. 如 果 不 将 50Hz 变 为 高 频 那 开 关 电 源 就 没 有 意 义 开 关 电 源 大 体 可 以 分 为 隔 离 和 非 隔 离 两 种, 隔 离 型 的 必 定 有 开 关 变 压 器, 而 非 隔 离 的 未 必 一 定 有 开 关 电 源 的 工 作 原 理 是 : 1. 交 流 电 源 输 入 经 整 流 滤 波 成 直 流 ; 2. 通 过 高 频 PWM( 脉 冲 宽 度 调 制 ) 信 号 控 制 开 关 管, 将 那 个 直 流 加 到 开 关 变 压 器 初 级 上 ; 3. 开 关 变 压 器 次 级 感 应 出 高 频 电 压, 经 整 流 滤 波 供 给 负 载 ; 4. 输 出 部 分 通 过 一 定 的 电 路 反 馈 给 控 制 电 路, 控 制 PWM 占 空 比, 以 达 到 稳 定 输 出 的 目 的 3.2 开 关 电 源 的 电 路 组 成 开 关 电 源 的 主 要 电 路 是 由 输 入 电 磁 干 扰 滤 波 器 (EMI) 整 流 滤 波 电 路 功 率 变 换 电 路 PWM 控 制 器 电 路 输 出 整 流 滤 波 电 路 组 成 辅 助 电 路 有 输 入 过 欠 压 保 护 电 路 输 出 过 欠 压 保 护 电 路 输 出 过 流 保 护 电 路 输 出 短 路 保 护 电 路 等 开 关 电 源 的 电 路 组 成 方 框 图 如 下 : 39

40 3.2.1 输 入 电 路 的 原 理 及 常 见 电 路 1 AC 输 入 整 流 滤 波 电 路 原 理 1 防 雷 电 路 : 当 有 雷 击, 产 生 高 压 经 电 网 导 入 电 源 时, 由 MOV1 MOV2 MOV3: F1 F2 F3 FDG1 组 成 的 电 路 进 行 保 护 当 加 在 压 敏 电 阻 两 端 的 电 压 超 过 其 工 作 电 压 时, 其 阻 值 降 低, 使 高 压 能 量 消 耗 在 压 敏 电 阻 上, 若 电 流 过 大,F1 F2 F3 会 烧 毁 保 护 后 级 电 路 2 输 入 滤 波 电 路 :C1 L1 C2 C3 组 成 的 双 π 型 滤 波 网 络 主 要 是 对 输 入 电 源 的 电 磁 噪 声 及 杂 波 信 号 进 行 抑 制, 防 止 对 电 源 干 扰, 同 时 也 防 止 电 源 本 身 产 生 的 高 频 杂 波 对 电 网 干 扰 当 电 源 开 启 瞬 间, 要 对 C5 充 电, 由 于 瞬 间 电 流 大, 加 RT1( 热 敏 电 阻 ) 就 能 有 效 的 防 止 浪 涌 电 流 因 瞬 时 能 量 全 消 耗 在 RT1 电 阻 上, 一 定 时 间 后 温 度 升 高 后 RT1 阻 值 减 小 (RT1 是 负 温 系 数 元 件 ), 这 时 它 消 耗 的 能 量 非 常 小, 后 级 电 路 可 正 常 工 作 40

41 3 整 流 滤 波 电 路 : 交 流 电 压 经 BRG1 整 流 后, 经 C5 滤 波 后 得 到 较 为 纯 净 的 直 流 电 压 若 C5 容 量 变 小, 输 出 的 交 流 纹 波 将 增 大 2 DC 输 入 滤 波 电 路 原 理 1 输 入 滤 波 电 路 :C1 L1 C2 组 成 的 双 π 型 滤 波 网 络 主 要 是 对 输 入 电 源 的 电 磁 噪 声 及 杂 波 信 号 进 行 抑 制, 防 止 对 电 源 干 扰, 同 时 也 防 止 电 源 本 身 产 生 的 高 频 杂 波 对 电 网 干 扰 C3 C4 为 安 规 电 容,L2 L3 为 差 模 电 感 2 R1 R2 R3 Z1 C6 Q1 Z2 R4 R5 Q2 RT1 C7 组 成 抗 浪 涌 电 路 在 起 机 的 瞬 间, 由 于 C6 的 存 在 Q2 不 导 通, 电 流 经 RT1 构 成 回 路 当 C6 上 的 电 压 充 至 Z1 的 稳 压 值 时 Q2 导 通 如 果 C8 漏 电 或 后 级 电 路 短 路 现 象, 在 起 机 的 瞬 间 电 流 在 RT1 上 产 生 的 压 降 增 大,Q1 导 通 使 Q2 没 有 栅 极 电 压 不 导 通,RT1 将 会 在 很 短 的 时 间 烧 毁, 以 保 护 后 级 电 路 功 率 变 换 电 路 1 MOS 管 的 工 作 原 理 : 目 前 应 用 最 广 泛 的 绝 缘 栅 场 效 应 管 是 MOSFET(MOS 管 ), 是 利 用 半 导 体 表 面 的 电 声 效 应 进 行 工 作 的 也 称 为 表 面 场 效 应 器 件 由 于 它 的 栅 极 处 于 不 导 电 状 态, 所 以 输 入 电 阻 可 以 大 大 提 高, 最 高 可 达 105 欧 姆,MOS 管 是 利 用 栅 源 电 压 的 大 小, 来 改 变 半 导 体 表 面 感 生 电 荷 的 多 少, 从 而 控 制 漏 极 电 流 的 大 小 2 常 见 的 原 理 图 : 41

42 3 工 作 原 理 : R4 C3 R5 R6 C4 D1 D2 组 成 缓 冲 器, 和 开 关 MOS 管 并 接, 使 开 关 管 电 压 应 力 减 少,EMI 减 少, 不 发 生 二 次 击 穿 在 开 关 管 Q1 关 断 时, 变 压 器 的 原 边 线 圈 易 产 生 尖 峰 电 压 和 尖 峰 电 流, 这 些 元 件 组 合 一 起, 能 很 好 地 吸 收 尖 峰 电 压 和 电 流 从 R3 测 得 的 电 流 峰 值 信 号 参 与 当 前 工 作 周 波 的 占 空 比 控 制, 因 此 是 当 前 工 作 周 波 的 电 流 限 制 当 R5 上 的 电 压 达 到 1V 时,UC3842 停 止 工 作, 开 关 管 Q1 立 即 关 断 R1 和 Q1 中 的 结 电 容 CGS CGD 一 起 组 成 RC 网 络, 电 容 的 充 放 电 直 接 影 响 着 开 关 管 的 开 关 速 度 R1 过 小, 易 引 起 振 荡, 电 磁 干 扰 也 会 很 大 ;R1 过 大, 会 降 低 开 关 管 的 开 关 速 度 Z1 通 常 将 MOS 管 的 GS 电 压 限 制 在 18V 以 下, 从 而 保 护 了 MOS 管 Q1 的 栅 极 受 控 电 压 为 锯 形 波, 当 其 占 空 比 越 大 时,Q1 导 通 时 间 越 长, 变 压 器 所 储 存 的 能 量 也 就 越 多 ; 当 Q1 截 止 时, 变 压 器 通 过 D1 D2 R5 R4 C3 释 放 能 量, 同 时 也 达 到 了 磁 场 复 位 的 目 的, 为 变 压 器 的 下 一 次 存 储 传 递 能 量 做 好 了 准 备 IC 根 据 输 出 电 压 和 电 流 时 刻 调 整 着 6 脚 锯 形 波 占 空 比 的 大 小, 从 而 稳 定 了 整 机 的 输 出 电 流 和 电 压 C4 和 R6 为 尖 峰 电 压 吸 收 回 路 4 推 挽 式 功 率 变 换 电 路 Q1 和 Q2 将 轮 流 导 通 流 环 5 有 驱 动 变 压 器 的 功 率 变 换 电 路 :T2 为 驱 动 变 压 器,T1 为 开 关 变 压 器,TR1 为 电 42

43 3.2.3 输 出 整 流 滤 波 电 路 1 正 激 式 整 流 电 路 T1 为 开 关 变 压 器, 其 初 极 和 次 极 的 相 位 同 相 D1 为 整 流 二 极 管,D2 为 续 流 二 极 管, R1 C1 R2 C2 为 削 尖 峰 电 路 L1 为 续 流 电 感,C4 L2 C5 组 成 π 型 滤 波 器 2 反 激 式 整 流 电 路 43

44 T1 为 开 关 变 压 器, 其 初 极 和 次 极 的 相 位 相 反 D1 为 整 流 二 极 管,R1 C1 为 削 尖 峰 电 路 L1 为 续 流 电 感,R2 为 假 负 载,C4 L2 C5 组 成 π 型 滤 波 器 3 同 步 整 流 电 路 工 作 原 理 : 当 变 压 器 次 级 上 端 为 正 时, 电 流 经 C2 R5 R6 R7 使 Q2 导 通, 电 路 构 成 回 路,Q2 为 整 流 管 Q1 栅 极 由 于 处 于 反 偏 而 截 止 当 变 压 器 次 级 下 端 为 正 时, 电 流 经 C3 R4 R2 使 Q1 导 通,Q1 为 续 流 管 Q2 栅 极 由 于 处 于 反 偏 而 截 止 L2 为 续 流 电 感,C6 L1 C7 组 成 π 型 滤 波 器 R1 C1 R9 C4 为 削 尖 峰 电 路 稳 压 环 路 原 理 1 反 馈 电 路 原 理 图 44

45 2 工 作 原 理 : 当 输 出 U0 升 高, 经 取 样 电 阻 R7 R8 R10 VR1 分 压 后,U13 脚 电 压 升 高, 当 其 超 过 U12 脚 基 准 电 压 后 U11 脚 输 出 高 电 平, 使 Q1 导 通, 光 耦 OT1 发 光 二 极 管 发 光, 光 电 三 极 管 导 通,UC38421 脚 电 位 相 应 变 低, 从 而 改 变 U16 脚 输 出 占 空 比 减 小,U0 降 低 当 输 出 U0 降 低 时,U13 脚 电 压 降 低, 当 其 低 过 U12 脚 基 准 电 压 后 U11 脚 输 出 低 电 平,Q1 不 导 通, 光 耦 OT1 发 光 二 极 管 不 发 光, 光 电 三 极 管 不 导 通,UC38421 脚 电 位 升 高, 从 而 改 变 U16 脚 输 出 占 空 比 增 大,U0 降 低 周 而 复 始, 从 而 使 输 出 电 压 保 持 稳 定 调 节 VR1 可 改 变 输 出 电 压 值 反 馈 环 路 是 影 响 开 关 电 源 稳 定 性 的 重 要 电 路 如 反 馈 电 阻 电 容 错 漏 虚 焊 等, 会 产 生 自 激 振 荡, 故 障 现 象 为 : 波 形 异 常, 空 满 载 振 荡, 输 出 电 压 不 稳 定 等 短 路 保 护 电 路 1 在 输 出 端 短 路 的 情 况 下,PWM 控 制 电 路 能 够 把 输 出 电 流 限 制 在 一 个 安 全 范 围 内, 它 可 以 用 多 种 方 法 来 实 现 限 流 电 路, 当 功 率 限 流 在 短 路 时 不 起 作 用 时, 只 有 另 增 设 一 部 分 电 路 2 短 路 保 护 电 路 通 常 有 两 种, 下 图 是 小 功 率 短 路 保 护 电 路, 其 原 理 简 述 如 下 : 当 输 出 电 路 短 路, 输 出 电 压 消 失, 光 耦 OT1 不 导 通,UC38421 脚 电 压 上 升 至 5V 左 右, R1 与 R2 的 分 压 超 过 TL431 基 准, 使 之 导 通,UC38427 脚 VCC 电 位 被 拉 低,IC 停 止 工 作 UC3842 停 止 工 作 后 1 脚 电 位 消 失,TL431 不 导 通 UC38427 脚 电 位 上 升,UC

46 重 新 启 动, 周 而 复 始 当 短 路 现 象 消 失 后, 电 路 可 以 自 动 恢 复 成 正 常 工 作 状 态 3 下 图 是 中 功 率 短 路 保 护 电 路, 其 原 理 简 述 如 下 : 当 输 出 短 路,UC38421 脚 电 压 上 升,U1 3 脚 电 位 高 于 2 脚 时, 比 较 器 翻 转 1 脚 输 出 高 电 位, 给 C1 充 电, 当 C1 两 端 电 压 超 过 5 脚 基 准 电 压 时 U17 脚 输 出 低 电 位,C 脚 低 于 1V,UCC3842 停 止 工 作, 输 出 电 压 为 0V, 周 而 复 始, 当 短 路 消 失 后 电 路 正 常 工 作 R2 C1 是 充 放 电 时 间 常 数, 阻 值 不 对 时 短 路 保 护 不 起 作 用 4 下 图 是 常 见 的 限 流 短 路 保 护 电 路 其 工 作 原 理 简 述 如 下 : 当 输 出 电 路 短 路 或 过 流, 变 压 器 原 边 电 流 增 大,R3 两 端 电 压 降 增 大,3 脚 电 压 升 高, UC38426 脚 输 出 占 空 比 逐 渐 增 大,3 脚 电 压 超 过 1V 时,UC3842 关 闭 无 输 出 5 下 图 是 用 电 流 互 感 器 取 样 电 流 的 保 护 电 路, 有 着 功 耗 小, 但 成 本 高 和 电 路 较 为 复 杂, 其 工 作 原 理 简 述 如 下 : 输 出 电 路 短 路 或 电 流 过 大,TR1 次 级 线 圈 感 应 的 电 压 就 越 高, 当 UC38423 脚 超 过 1 伏,UC3842 停 止 工 作, 周 而 复 始, 当 短 路 或 过 载 消 失, 电 路 自 行 恢 复 46

47 3.2.6 输 出 端 限 流 保 护 上 图 是 常 见 的 输 出 端 限 流 保 护 电 路, 其 工 作 原 理 简 述 如 上 图 : 当 输 出 电 流 过 大 时,RS ( 锰 铜 丝 ) 两 端 电 压 上 升,U13 脚 电 压 高 于 2 脚 基 准 电 压,U11 脚 输 出 高 电 压,Q1 导 通, 光 耦 发 生 光 电 效 应,UC38421 脚 电 压 降 低, 输 出 电 压 降 低, 从 而 达 到 输 出 过 载 限 流 的 目 的 输 出 过 压 保 护 电 路 的 原 理 输 出 过 压 保 护 电 路 的 作 用 是 : 当 输 出 电 压 超 过 设 计 值 时, 把 输 出 电 压 限 定 在 一 安 全 值 的 范 围 内 当 开 关 电 源 内 部 稳 压 环 路 出 现 故 障 或 者 由 于 用 户 操 作 不 当 引 起 输 出 过 压 现 象 时, 过 压 保 护 电 路 进 行 保 护 以 防 止 损 坏 后 级 用 电 设 备 应 用 最 为 普 遍 的 过 压 保 护 电 路 有 如 下 几 种 : 1 可 控 硅 触 发 保 护 电 路 如 上 图, 当 Uo1 输 出 升 高, 稳 压 管 (Z3) 击 穿 导 通, 可 控 硅 (SCR1) 的 控 制 端 得 到 触 发 电 压, 因 此 可 控 硅 导 通 Uo2 电 压 对 地 短 路, 过 流 保 护 电 路 或 短 路 保 护 电 路 就 会 工 作, 停 止 整 个 电 源 电 路 的 工 作 当 输 出 过 压 现 象 排 除, 可 控 硅 的 控 制 端 触 发 电 压 通 过 R 对 地 泄 放, 可 控 硅 恢 复 断 开 状 态 47

48 2 光 电 耦 合 保 护 电 路 如 上 图, 当 Uo 有 过 压 现 象 时, 稳 压 管 击 穿 导 通, 经 光 耦 (OT2)R6 到 地 产 生 电 流 流 过, 光 电 耦 合 器 的 发 光 二 极 管 发 光, 从 而 使 光 电 耦 合 器 的 光 敏 三 极 管 导 通 Q1 基 极 得 电 导 通,3842 的 3 脚 电 降 低, 使 IC 关 闭, 停 止 整 个 电 源 的 工 作,Uo 为 零, 周 而 复 始 3 输 出 限 压 保 护 电 路 输 出 限 压 保 护 电 路 如 下 图, 当 输 出 电 压 升 高, 稳 压 管 导 通 光 耦 导 通,Q1 基 极 有 驱 动 电 压 而 道 通,UC38423 电 压 升 高, 输 出 降 低, 稳 压 管 不 导 通,UC38423 电 压 降 低, 输 出 电 压 升 高 周 而 复 始, 输 出 电 压 将 稳 定 在 一 范 围 内 ( 取 决 于 稳 压 管 的 稳 压 值 ) 4 输 出 过 压 锁 死 电 路 图 A 的 工 作 原 理 是, 当 输 出 电 压 Uo 升 高, 稳 压 管 导 通, 光 耦 导 通,Q2 基 极 得 电 导 通, 由 于 Q2 的 导 通 Q1 基 极 电 压 降 低 也 导 通,Vcc 电 压 经 R1 Q1 R2 使 Q2 始 终 导 通, UC38423 脚 始 终 是 高 电 平 而 停 止 工 作 在 图 B 中,UO 升 高 U13 脚 电 压 升 高,1 脚 输 出 高 电 平, 由 于 D1 R1 的 存 在,U11 脚 始 终 输 出 高 电 平 Q1 始 终 导 通,UC38421 脚 始 终 是 低 电 平 而 停 止 工 作 48

49 3.2.8 功 率 因 数 校 正 电 路 1 原 理 示 意 图 : 2 工 作 原 理 : 输 入 电 压 经 L1 L2 L3 等 组 成 的 EMI 滤 波 器,BRG1 整 流 一 路 送 PFC 电 感, 另 一 路 经 R1 R2 分 压 后 送 入 PFC 控 制 器 作 为 输 入 电 压 的 取 样, 用 以 调 整 控 制 信 号 的 占 空 比, 即 改 变 Q1 的 导 通 和 关 断 时 间, 稳 定 PFC 输 出 电 压 L4 是 PFC 电 感, 它 在 Q1 导 通 时 储 存 能 量, 在 Q1 关 断 时 施 放 能 量 D1 是 启 动 二 极 管 D2 是 PFC 整 流 二 极 管,C6 C7 滤 波 PFC 电 压 一 路 送 后 级 电 路, 另 一 路 经 R3 R4 分 压 后 送 入 PFC 控 制 器 作 为 PFC 输 出 电 压 的 取 样, 用 以 调 整 控 制 信 号 的 占 空 比, 稳 定 PFC 输 出 电 压 输 入 过 欠 压 保 护 1 原 理 图 : 49

50 2 工 作 原 理 : AC 输 入 和 DC 输 入 的 开 关 电 源 的 输 入 过 欠 压 保 护 原 理 大 致 相 同 保 护 电 路 的 取 样 电 压 均 来 自 输 入 滤 波 后 的 电 压 取 样 电 压 分 为 两 路, 一 路 经 R1 R2 R3 R4 分 压 后 输 入 比 较 器 3 脚, 如 取 样 电 压 高 于 2 脚 基 准 电 压, 比 较 器 1 脚 输 出 高 电 平 去 控 制 主 控 制 器 使 其 关 断, 电 源 无 输 出 另 一 路 经 R7 R8 R9 R10 分 压 后 输 入 比 较 器 6 脚, 如 取 样 电 压 低 于 5 脚 基 准 电 压, 比 较 器 7 脚 输 出 高 电 平 去 控 制 主 控 制 器 使 其 关 断, 电 源 无 输 出 50

51 第 4 章 总 线 技 术 任 何 一 个 微 处 理 器 都 要 与 一 定 数 量 的 部 件 和 外 围 设 备 连 接, 但 如 果 将 各 部 件 和 每 一 种 外 围 设 备 都 分 别 用 一 组 线 路 与 CPU 直 接 连 接, 那 么 连 线 将 会 错 综 复 杂, 甚 至 难 以 实 现 为 了 简 化 硬 件 电 路 设 计 简 化 系 统 结 构, 常 用 一 组 线 路, 配 置 以 适 当 的 接 口 电 路, 与 各 部 件 和 外 围 设 备 连 接, 这 组 共 用 的 连 接 线 路 被 称 为 总 线 采 用 总 线 结 构 便 于 部 件 和 设 备 的 扩 充, 尤 其 制 定 了 统 一 的 总 线 标 准 则 容 易 使 不 同 设 备 间 实 现 互 连 按 总 线 的 功 能 分 类 : 地 址 总 线 数 据 总 线 控 制 总 线 通 常 所 说 的 总 线 都 包 括 上 述 三 个 组 成 部 分 : 地 址 总 线 (AB) 用 来 传 送 地 址 信 息, 数 据 总 线 (DB) 用 来 传 送 数 据 信 息, 控 制 总 线 (CB) 用 来 传 送 各 种 控 制 信 号 微 机 中 总 线 一 般 有 内 部 总 线 系 统 总 线 和 外 部 总 线 内 部 总 线 是 微 机 内 部 各 外 围 芯 片 与 处 理 器 之 间 的 总 线, 用 于 芯 片 一 级 的 互 连 ; 而 系 统 总 线 是 微 机 中 各 插 件 板 与 系 统 板 之 间 的 总 线, 用 于 插 件 板 一 级 的 互 连 ; 外 部 总 线 则 是 微 机 和 外 部 设 备 之 间 的 总 线, 微 机 作 为 一 种 设 备, 通 过 该 总 线 和 其 他 设 备 进 行 信 息 与 数 据 交 换, 它 用 于 设 备 一 级 的 互 连 另 外, 从 广 义 上 说, 计 算 机 通 信 方 式 可 以 分 为 并 行 通 信 和 串 行 通 信, 相 应 的 通 信 总 线 被 称 为 并 行 总 线 和 串 行 总 线 并 行 通 信 速 度 快 实 时 性 好, 但 由 于 占 用 的 口 线 多, 不 适 于 小 型 化 产 品 ; 而 串 行 通 信 速 率 虽 低, 但 在 数 据 通 信 吞 吐 量 不 是 很 大 的 微 处 理 电 路 中 则 显 得 更 加 简 易 方 便 灵 活 串 行 通 信 一 般 可 分 为 异 步 模 式 和 同 步 模 式 随 着 微 电 子 技 术 和 计 算 机 技 术 的 发 展, 总 线 技 术 也 在 不 断 地 发 展 和 完 善, 而 使 计 算 机 总 线 技 术 种 类 繁 多, 各 具 特 色 下 面 仅 对 微 机 各 类 总 线 中 目 前 比 较 流 行 的 总 线 技 术 分 别 加 以 介 绍 4.1 内 部 总 线 1.I2C 总 线 I2C(Inter-IC) 总 线 10 多 年 前 由 Philips 公 司 推 出, 是 近 年 来 在 微 电 子 通 信 控 制 领 域 广 泛 采 用 的 一 种 新 型 总 线 标 准 它 是 同 步 通 信 的 一 种 特 殊 形 式, 具 有 接 口 线 少, 控 制 方 式 简 化, 器 件 封 装 形 式 小, 通 信 速 率 较 高 等 优 点 在 主 从 通 信 中, 可 以 有 多 个 I2C 总 线 器 件 同 时 接 到 I2C 总 线 上, 通 过 地 址 来 识 别 通 信 对 象 2.SPI 总 线 串 行 外 围 设 备 接 口 SPI(serial peripheral interface) 总 线 技 术 是 Motorola 公 司 推 出 的 一 种 同 步 串 行 接 口 Motorola 公 司 生 产 的 绝 大 多 数 MCU( 微 控 制 器 ) 都 配 有 SPI 硬 件 接 口, 如 68 系 列 MCU SPI 总 线 是 一 种 三 线 同 步 总 线, 因 其 硬 件 功 能 很 强, 所 以, 与 SPI 有 关 的 软 件 就 相 当 简 单, 使 CPU 有 更 多 的 时 间 处 理 其 他 事 务 3.SCI 总 线 串 行 通 信 接 口 SCI(serial communication interface) 也 是 由 Motorola 公 司 推 出 的 51

52 它 是 一 种 通 用 异 步 通 信 接 口 UART, 与 MCS-51 的 异 步 通 信 功 能 基 本 相 同 4.IIS 总 线 IIS(Inter-ICSoundbus) 又 称 I2S, 是 菲 利 浦 公 司 提 出 的 串 行 数 字 音 频 总 线 协 议 目 前 很 多 音 频 芯 片 和 MCU 都 提 供 了 对 IIS 的 支 持 IIS 总 线 只 处 理 声 音 数 据 其 他 信 号 ( 如 控 制 信 号 ) 必 须 单 独 传 输 为 了 使 芯 片 的 引 出 管 脚 尽 可 能 少,IIS 只 使 用 了 三 根 串 行 总 线 这 三 根 线 分 别 是 : 提 供 分 时 复 用 功 能 的 数 据 线 字 段 选 择 线 ( 声 道 选 择 ) 时 钟 信 号 线 5. 单 总 线 近 年 来, 美 国 的 达 拉 斯 半 导 体 公 司 (DALLASSEMICONDUCTOR) 推 出 了 一 项 特 有 的 单 总 线 (1-Wire Bus) 技 术 该 技 术 与 上 述 总 线 不 同, 它 采 用 单 根 信 号 线, 既 可 传 输 时 钟, 又 能 传 输 数 据, 而 且 数 据 传 输 是 双 向 的, 因 而 这 种 单 总 线 技 术 具 有 线 路 简 单, 硬 件 开 销 少, 成 本 低 廉, 便 于 总 线 扩 展 和 维 护 等 优 点 单 总 线 适 用 于 单 主 机 系 统, 能 够 控 制 一 个 或 多 个 从 机 设 备 主 机 可 以 是 微 控 制 器, 从 机 可 以 是 单 总 线 器 件, 它 们 之 间 的 数 据 交 换 只 通 过 一 条 信 号 线 当 只 有 一 个 从 机 设 备 时, 系 统 可 按 单 节 点 系 统 操 作 ; 当 有 多 个 从 机 设 备 时, 系 统 则 按 多 节 点 系 统 操 作 4.2 系 统 总 线 1.ISA 总 线 ISA(industrial standard architecture) 总 线 标 准 是 IBM 公 司 1984 年 为 推 出 PC/AT 机 而 建 立 的 系 统 总 线 标 准, 所 以 也 叫 AT 总 线 它 是 对 XT 总 线 的 扩 展, 以 适 应 8/16 位 数 据 总 线 要 求 它 在 至 时 代 应 用 非 常 广 泛, 以 至 于 现 在 奔 腾 机 中 还 保 留 有 ISA 总 线 插 槽 ISA 总 线 有 98 只 引 脚 2.EISA 总 线 EISA 总 线 是 1988 年 由 Compaq 等 9 家 公 司 联 合 推 出 的 总 线 标 准 它 是 在 ISA 总 线 的 基 础 上 使 用 双 层 插 座, 在 原 来 ISA 总 线 的 98 条 信 号 线 上 又 增 加 了 98 条 信 号 线, 也 就 是 在 两 条 ISA 信 号 线 之 间 添 加 一 条 EISA 信 号 线 在 实 用 中,EISA 总 线 完 全 兼 容 ISA 总 线 信 号 3.VESA 总 线 VESA(video electronics standard association) 总 线 是 1992 年 由 60 家 附 件 卡 制 造 商 联 合 推 出 的 一 种 局 部 总 线, 简 称 为 VL(VESA local bus) 总 线 它 的 推 出 为 微 机 系 统 总 线 体 系 结 构 的 革 新 奠 定 了 基 础 该 总 线 系 统 考 虑 到 CPU 与 主 存 和 Cache 的 直 接 相 连, 通 常 把 这 部 分 总 线 称 为 CPU 总 线 或 主 总 线, 其 他 设 备 通 过 VL 总 线 与 CPU 总 线 相 连, 所 以 VL 总 线 被 称 为 局 部 总 线 它 定 义 了 32 位 数 据 线, 且 可 通 过 扩 展 槽 扩 展 到 64 位, 使 用 33MHz 时 钟 频 率, 最 大 传 输 率 达 132MB/s, 可 与 CPU 同 步 工 作 是 一 种 高 速 高 效 的 局 部 总 线, 52

53 可 支 持 386SX 386DX 486SX 486DX 及 奔 腾 微 处 理 器 4.PCI 总 线 PCI(peripheral component interconnect) 总 线 是 当 前 最 流 行 的 总 线 之 一, 它 是 由 Intel 公 司 推 出 的 一 种 局 部 总 线 它 定 义 了 32 位 数 据 总 线, 且 可 扩 展 为 64 位 PCI 总 线 主 板 插 槽 的 体 积 比 原 ISA 总 线 插 槽 还 小, 其 功 能 比 VESA ISA 有 极 大 的 改 善, 支 持 突 发 读 写 操 作, 最 大 传 输 速 率 可 达 132MB/s, 可 同 时 支 持 多 组 外 围 设 备 PCI 局 部 总 线 不 能 兼 容 现 有 的 ISA EISA MCA(micro channel architecture) 总 线, 但 它 不 受 制 于 处 理 器, 是 基 于 奔 腾 等 新 一 代 微 处 理 器 而 发 展 的 总 线 5.Compact PCI 以 上 所 列 举 的 几 种 系 统 总 线 一 般 都 用 于 商 用 PC 机 中, 在 计 算 机 系 统 总 线 中, 还 有 另 一 大 类 为 适 应 工 业 现 场 环 境 而 设 计 的 系 统 总 线, 比 如 STD 总 线 VME 总 线 PC/104 总 线 等 这 里 仅 介 绍 当 前 工 业 计 算 机 的 热 门 总 线 之 一 Compact PCI Compact PCI 的 意 思 是 坚 实 的 PCI, 是 当 今 第 一 个 采 用 无 源 总 线 底 板 结 构 的 PCI 系 统, 是 PCI 总 线 的 电 气 和 软 件 标 准 加 欧 式 卡 的 工 业 组 装 标 准, 是 当 今 最 新 的 一 种 工 业 计 算 机 标 准 Compact PCI 是 在 原 来 PCI 总 线 基 础 上 改 造 而 来, 它 利 用 PCI 的 优 点, 提 供 满 足 工 业 环 境 应 用 要 求 的 高 性 能 核 心 系 统, 同 时 还 考 虑 充 分 利 用 传 统 的 总 线 产 品, 如 ISA STD VME 或 PC/104 来 扩 充 系 统 的 I/O 和 其 他 功 能 4.3 外 部 总 线 1.RS RS C 总 线 RS-232-C 是 美 国 电 子 工 业 协 会 EIA(Electronic Industry Association) 制 定 的 一 种 串 行 物 理 接 口 标 准 RS 是 英 文 推 荐 标 准 的 缩 写,232 为 标 识 号,C 表 示 修 改 次 数 RS-232-C 总 线 标 准 设 有 25 条 信 号 线, 包 括 一 个 主 通 道 和 一 个 辅 助 通 道, 在 多 数 情 况 下 主 要 使 用 主 通 道, 对 于 一 般 双 工 通 信, 仅 需 几 条 信 号 线 就 可 实 现, 如 一 条 发 送 线 一 条 接 收 线 及 一 条 地 线 RS-232-C 标 准 规 定 的 数 据 传 输 速 率 为 每 秒 波 特 RS-232-C 标 准 规 定, 驱 动 器 允 许 有 2500pF 的 电 容 负 载, 通 信 距 离 将 受 此 电 容 限 制, 例 如, 采 用 150pF/m 的 通 信 电 缆 时, 最 大 通 信 距 离 为 15m; 若 每 米 电 缆 的 电 容 量 减 小, 通 信 距 离 可 以 增 加 传 输 距 离 短 的 另 一 原 因 是 RS-232 属 单 端 信 号 传 送, 存 在 共 地 噪 声 和 不 能 抑 制 共 模 干 扰 等 问 题, 因 此 一 般 用 于 20m 以 内 的 通 信 2.RS RS-485 总 线 在 要 求 通 信 距 离 为 几 十 米 到 上 千 米 时, 广 泛 采 用 RS-485 串 行 总 线 标 准 RS-485 采 用 平 衡 发 送 和 差 分 接 收, 因 此 具 有 抑 制 共 模 干 扰 的 能 力 加 上 总 线 收 发 器 具 有 高 灵 敏 度, 能 检 测 低 至 200mV 的 电 压, 故 传 输 信 号 能 在 千 米 以 外 得 到 恢 复 RS-485 采 用 半 双 工 工 作 方 式, 任 何 时 候 只 能 有 一 点 处 于 发 送 状 态, 因 此, 发 送 电 路 须 由 使 能 信 号 加 以 控 制 RS-485 用 于 多 点 互 连 时 非 常 方 便, 可 以 省 掉 许 多 信 号 线 应 用 RS-485 可 以 联 网 构 成 分 布 式 系 统, 其 允 许 最 多 并 联 32 台 驱 动 器 和 32 台 接 收 器 53

54 3.IEEE IEEE-488 总 线 上 述 两 种 外 部 总 线 是 串 行 总 线, 而 IEEE-488 总 线 是 并 行 总 线 接 口 标 准 IEEE-488 总 线 用 来 连 接 系 统, 如 微 计 算 机 数 字 电 压 表 数 码 显 示 器 等 设 备 及 其 他 仪 器 仪 表 均 可 用 IEEE-488 总 线 装 配 起 来 它 按 照 位 并 行 字 节 串 行 双 向 异 步 方 式 传 输 信 号, 连 接 方 式 为 总 线 方 式, 仪 器 设 备 直 接 并 联 于 总 线 上 而 不 需 中 介 单 元, 但 总 线 上 最 多 可 连 接 15 台 设 备 最 大 传 输 距 离 为 20 米, 信 号 传 输 速 度 一 般 为 500KB/s, 最 大 传 输 速 度 为 1MB/s 4.USB 总 线 USB 是 英 文 UniversalSerialBus 的 缩 写, 中 文 含 义 是 通 用 串 行 总 线 它 是 一 种 应 用 在 PC 领 域 的 新 型 接 口 技 术 自 从 1995 年 PC 机 带 有 USB 接 口,1998 年 USB 接 口 逐 步 走 进 大 规 模 实 用 阶 段 这 几 年, 随 着 大 量 支 持 USB 的 个 人 电 脑 的 普 及,USB 逐 步 成 为 PC 机 的 标 准 接 口 已 经 是 大 势 所 趋 在 主 机 (host) 端, 最 新 推 出 的 PC 机 几 乎 100% 支 持 USB; 而 在 外 设 (device) 端, 使 用 USB 接 口 的 设 备 也 与 日 俱 增, 例 如 数 码 相 机 扫 描 仪 游 戏 杆 磁 带 和 软 驱 图 像 设 备 打 印 机 键 盘 鼠 标 等 等 USB 设 备 之 所 以 会 被 大 量 应 用, 主 要 具 有 以 下 优 点 : 1) 可 以 热 插 拔, 告 别 并 口 和 串 口 先 关 机, 将 电 缆 接 上, 再 开 机 的 动 作 2) 系 统 总 线 供 电, 低 功 率 设 备 无 需 外 接 电 源, 采 用 低 功 耗 设 备, 并 可 提 供 5V/500mA 电 源 3) 支 持 设 备 众 多, 支 持 多 种 设 备 类, 例 如 鼠 标, 键 盘, 打 印 机 等 4) 扩 展 容 易, 可 以 连 接 多 个 设 备, 最 多 可 扩 127 个 5) 高 速 数 据 传 输,USB1.1 是 12Mb/s,USB2.0 高 达 480Mb/S 6) 方 便 的 设 备 互 连,USBOTG 支 持 点 对 点 通 信, 例 如 数 码 相 机 和 打 印 机 直 接 互 连, 无 需 PC 当 然,USB 设 备 也 有 其 缺 点, 包 括 : 1) 供 电 能 力, 如 果 外 设 的 供 电 电 流 大 于 500mA 时, 设 备 必 须 外 接 电 源 2) 传 输 距 离,USB 总 线 的 连 线 长 度 最 大 为 5m, 即 便 是 用 HUB 来 扩 展, 最 远 也 不 超 过 30 米 4.4 CAN 总 线 CAN 总 线 简 介 及 其 特 点 CAN 网 络 (Controller Area Network) 是 现 场 总 线 技 术 的 一 种, 它 是 一 种 架 构 开 放 广 播 式 的 新 一 代 网 络 通 信 协 议, 称 为 控 制 器 局 域 网 现 场 总 线 CAN 网 络 原 本 是 德 国 Bosch 公 司 为 欧 洲 汽 车 市 场 所 开 发 的 CAN 推 出 之 初 是 用 于 汽 车 内 部 测 量 和 执 行 部 件 之 间 的 数 据 通 信 例 如 汽 车 刹 车 防 抱 死 系 统 安 全 气 囊 等 对 机 动 车 辆 总 线 和 对 现 场 总 线 的 需 求 有 许 多 相 似 之 处, 即 能 够 以 较 低 的 成 本 较 高 的 实 时 处 理 54

55 能 力 在 强 电 磁 干 扰 环 境 下 可 靠 地 工 作 因 此 CAN 总 线 可 广 泛 应 用 于 离 散 控 制 领 域 中 的 过 程 监 测 和 控 制, 特 别 是 工 业 自 动 化 的 底 层 监 控, 以 解 决 控 制 与 测 试 之 间 的 可 靠 和 实 时 数 据 交 换 CAN 总 线 有 如 下 基 本 特 点 : * CAN 协 议 最 大 的 特 点 是 废 除 了 传 统 的 站 地 址 编 码, 代 之 以 对 数 据 通 信 数 据 块 进 行 编 码, 可 以 多 主 方 式 工 作 ; * CAN 采 用 非 破 坏 性 仲 裁 技 术, 当 两 个 节 点 同 时 向 网 络 上 传 送 数 据 时, 优 先 级 低 的 节 点 主 动 停 止 数 据 发 送, 而 优 先 级 高 的 节 点 可 不 受 影 响 地 继 续 传 输 数 据, 有 效 避 免 了 总 线 冲 突 ; * CAN 采 用 短 帧 结 构, 每 一 帧 的 有 效 字 节 数 为 8 个 (CAN 技 术 规 范 2.0A), 数 据 传 输 时 间 短, 受 干 扰 的 概 率 低, 重 新 发 送 的 时 间 短 ; * CAN 的 每 帧 数 据 都 有 CRC 效 验 及 其 他 检 错 措 施, 保 证 了 数 据 传 输 的 高 可 靠 性, 适 于 在 高 干 扰 环 境 中 使 用 ; * CAN 节 点 在 错 误 严 重 的 情 况 下, 具 有 自 动 关 闭 总 线 的 功 能, 切 断 它 与 总 线 的 联 系, 以 使 总 线 上 其 它 操 作 不 受 影 响 ; * CAN 可 以 点 对 点 一 点 对 多 点 ( 成 组 ) 及 全 局 广 播 集 中 方 式 传 送 和 接 受 数 据 ; * CAN 总 线 直 接 通 讯 距 离 最 远 可 达 10km/5Kbps, 通 讯 速 率 最 高 可 达 1M bps/40m; * 采 用 不 归 零 码 (NRZ Non-Return-to-Zero) 编 码 / 解 码 方 式, 并 采 用 位 填 充 ( 插 入 ) 技 术 CAN 总 线 通 信 介 质 访 问 控 制 方 式 CAN 采 用 了 的 3 层 模 型 : 物 理 层 数 据 链 路 层 和 应 用 层 CAN 支 持 的 拓 扑 结 构 为 总 线 型 传 输 介 质 为 双 绞 线 同 轴 电 缆 和 光 纤 等 采 用 双 绞 线 通 信 时, 速 率 为 1Mbps /40 m,50kbps/10km, 结 点 数 可 达 110 个 CAN 的 通 信 介 质 访 问 为 带 有 优 先 级 的 CS-MA/CA 采 用 多 主 竞 争 方 式 结 构 : 网 络 上 任 意 节 点 均 可 以 在 任 意 时 刻 主 动 地 向 网 络 上 其 它 节 点 发 送 信 息, 而 不 分 主 从, 即 当 发 现 总 线 空 闲 时, 各 个 节 点 都 有 权 使 用 网 络 在 发 生 冲 突 时, 采 用 非 破 坏 性 总 线 优 先 仲 裁 技 术 : 当 几 个 节 点 同 时 向 网 络 发 送 消 息 时, 运 用 逐 位 仲 裁 原 则, 借 助 帧 中 开 始 部 分 的 表 示 符, 优 先 级 低 的 节 点 主 动 停 止 发 送 数 据, 而 优 先 级 高 的 节 点 可 不 受 影 响 的 继 续 发 送 信 息, 从 而 有 效 地 避 免 了 总 线 冲 突, 使 信 息 和 时 间 均 无 损 失 例 如, 规 定 0 的 优 先 级 高, 在 节 点 发 送 信 息 时,CAN 总 线 作 与 运 算 每 个 节 点 都 是 边 发 送 信 息 边 检 测 网 络 状 态, 当 某 一 个 节 点 发 送 1 而 检 测 到 0 时, 此 节 点 知 道 有 更 高 优 先 级 的 信 息 在 发 送, 它 就 停 止 发 送 信 息, 直 到 再 一 次 检 测 到 网 络 空 闲 CAN 的 传 输 信 号 采 用 短 帧 结 构 ( 有 效 数 据 最 多 为 8 个 字 节 ), 和 带 优 先 级 的 CS- MA/CA 通 信 介 质 访 问 控 制 方 式, 对 高 优 先 级 的 通 信 请 求 来 说, 在 1Mbps 通 信 速 率 时, 最 长 的 等 待 时 间 为 0.15ms, 完 全 可 以 满 足 现 场 控 制 的 实 时 性 要 求 CAN 突 出 的 差 错 检 验 机 理, 如 5 种 错 误 检 测 出 错 标 定 和 故 障 界 定 ;CAN 传 输 信 号 为 短 帧 结 构, 55

56 因 而 传 输 时 间 短, 受 干 扰 概 率 低 这 些 保 证 了 出 错 率 极 低, 剩 余 错 误 概 率 为 报 文 出 错 率 的 另 外,CAN 节 点 在 严 重 错 误 的 情 况 下, 具 有 自 动 关 闭 输 出 的 功 能, 以 使 总 线 上 其 它 节 点 的 操 作 不 受 其 影 响 因 此,CAN 具 有 高 可 靠 性 CAN 的 通 信 协 议 主 要 有 CAN 总 线 控 制 器 完 成 CAN 控 制 器 主 要 由 实 现 CAN 总 线 协 议 部 分 和 微 控 制 器 接 口 部 分 电 路 组 成 通 过 简 单 的 连 接 即 可 完 成 CAN 协 议 的 物 理 层 和 数 据 链 路 层 的 所 有 功 能, 应 用 层 功 能 由 微 控 制 器 完 成 CAN 总 线 上 的 节 点 即 可 以 是 基 于 微 控 制 器 的 智 能 节 点, 也 可 以 是 具 有 CAN 接 口 的 I/O 器 件 应 用 技 术 1 系 统 组 成 CAN 总 线 用 户 接 口 简 单, 编 程 方 便 CAN 总 线 属 于 现 场 总 线 的 范 畴,CAN 总 线 系 统 的 一 般 组 成 模 式 如 图 4-1 所 示 图 4-1 CAN 总 线 系 统 的 一 般 组 成 模 式 网 络 拓 扑 结 构 采 用 总 线 式 结 构 这 种 网 络 结 构 结 构 简 单 成 本 低, 并 且 采 用 无 源 抽 头 连 接, 系 统 可 靠 性 高 通 过 CAN 总 线 连 接 各 个 网 络 节 点, 形 成 多 主 机 控 制 器 局 域 网 (C AN) 信 息 的 传 输 采 用 CAN 通 信 协 议, 通 过 CAN 控 制 器 来 完 成 各 网 络 节 点 一 般 为 带 有 微 控 制 器 的 智 能 节 点 完 成 现 场 的 数 据 采 集 和 基 于 CAN 协 议 的 数 据 传 输, 节 点 可 以 使 用 带 有 在 片 CAN 控 制 器 的 微 控 制 器, 或 选 用 一 般 的 微 控 制 器 加 上 独 立 的 CAN 控 制 器 来 完 成 节 点 功 能 传 输 介 质 可 采 用 双 绞 线 同 轴 电 缆 或 光 纤 如 果 需 要 进 一 步 提 高 系 统 的 抗 干 扰 能 力, 还 可 以 在 控 制 器 和 传 输 介 质 之 间 加 接 光 电 隔 离, 电 源 采 用 DC-DC 变 换 器 等 措 施 这 样 可 方 便 构 成 实 时 分 布 式 测 控 系 统 2 CAN 总 线 的 物 理 层 设 计 CAN 总 线 协 议 对 物 理 层 没 有 严 格 定 义, 给 使 用 者 较 大 的 灵 活 性, 同 时 也 给 设 计 者 带 来 了 困 难 CAN 总 线 物 理 层 的 设 计 原 则 是 : 针 对 CTX0 CTX1 的 两 种 输 出 状 态 ( 显 性 (Daminant) 隐 性 (Recessive)), 总 线 应 具 有 两 种 不 同 电 平, 接 56

57 收 端 呈 现 ( 显 性 隐 性 ) 两 种 状 态, 如 图 4-2 所 示 这 样 不 要 求 总 线 必 须 是 数 字 逻 辑 电 平, 只 要 是 能 够 呈 现 两 种 电 平 ( 显 性 和 隐 性 ) 的 模 拟 量, 满 足 上 述 设 计 原 则 就 可 以 图 4-2 CAN 总 线 电 平 示 意 图 图 4-3 CAN 总 线 物 理 接 口 示 意 CAN 控 制 器 芯 片 的 片 内 输 出 驱 动 器 和 输 入 比 较 器 可 编 程, 它 可 方 便 地 提 供 多 种 发 送 类 型, 诸 如 : 单 线 总 线 双 线 总 线 ( 差 分 ) 和 光 缆 总 线 它 可 以 直 接 驱 动 总 线, 若 网 络 的 规 模 比 较 大, 节 点 数 比 较 多, 需 要 外 加 总 线 驱 动 元 件, 以 增 大 输 出 电 流 如 图 4-3 采 用 了 CAN 收 发 器 作 为 CAN 控 制 器 和 物 理 总 线 之 间 的 接 口, 提 供 向 总 线 的 差 动 发 送 能 力 和 对 CAN 控 制 器 的 差 动 接 收 能 力 一 般 在 驱 动 芯 片 和 CAN 控 制 器 之 间 加 入 光 电 耦 合 器, 增 加 抗 干 扰 能 力 CAN 总 线 的 速 度 将 由 光 电 耦 合 器 的 速 度 决 定 比 如 : 用 4N27 光 耦, 因 为 它 的 响 应 速 度 比 较 慢, CAN 网 络 的 位 速 度 只 能 达 到 几 十 Kbit/s 如 果 采 用 6N137 高 速 光 电 耦 合 器, CAN 网 络 速 度 可 以 达 到 和 电 阻 网 络 驱 动 时 的 速 度 一 样 另 外, 物 理 层 的 设 计 要 注 意 电 缆 的 终 端 阻 抗 匹 配, 这 直 接 影 响 了 CAN 总 线 能 否 正 常 工 作 和 网 络 性 能 57

58 3 应 用 软 件 设 计 CAN 控 制 器 其 内 部 硬 件 实 现 了 CAN 总 线 物 理 层 和 数 据 链 路 层 的 所 有 协 议 内 容, 有 关 CAN 总 线 的 通 信 功 能 均 由 CAN 控 制 器 自 动 管 理 执 行 CAN 控 制 器 对 于 CPU 来 说, 是 以 确 保 双 方 独 立 工 作 的 存 储 影 像 外 围 设 备 出 现 的 CAN 控 制 器 的 地 址 域 由 控 制 段 和 报 文 缓 存 器 组 成, 在 初 始 化 向 下 加 载 期 间, 控 制 段 可 被 编 程 以 配 置 通 信 参 数 CAN 总 线 上 的 通 信 也 通 过 此 段 由 CPU 控 制, 被 发 送 的 报 文 必 须 写 入 发 送 缓 存 器, 成 功 接 收 后,CP U 可 以 从 接 收 缓 存 器 读 取 报 文, 然 后 释 放 它, 以 备 下 次 使 用 对 于 在 片 的 CAN 控 制 器, 它 与 CPU 之 间 的 接 口 一 般 借 助 于 4 个 特 殊 寄 存 器 :CAN 地 址 寄 存 器 数 据 寄 存 器 控 制 寄 存 器 状 态 寄 存 器 对 于 单 独 的 CAN 控 制 器,MCU 可 以 通 过 其 地 址 / 数 据 总 线 对 其 寄 存 器 直 接 寻 址, 就 像 MCU 对 一 般 外 部 RAM 寻 址 一 样 通 过 对 这 些 寄 存 器 编 程 操 作, 可 很 方 便 控 制 CAN 控 制 器 完 成 通 讯 功 能 4.5 以 太 网 以 太 网 是 当 今 现 有 局 域 网 采 用 的 最 通 用 的 通 信 协 议 标 准, 组 建 于 七 十 年 代 早 期 Ethernet( 以 太 网 ) 是 一 种 传 输 速 率 为 10Mbps 的 常 用 局 域 网 (LAN) 标 准 在 以 太 网 中, 所 有 计 算 机 被 连 接 一 条 同 轴 电 缆 上, 采 用 具 有 冲 突 检 测 的 载 波 感 应 多 处 访 问 (CSMA/CD) 方 法, 采 用 竞 争 机 制 和 总 线 拓 朴 结 构 基 本 上, 以 太 网 由 共 享 传 输 媒 体, 如 双 绞 线 电 缆 或 同 轴 电 缆 和 多 端 口 集 线 器 网 桥 或 交 换 机 构 成 在 星 型 或 总 线 型 配 置 结 构 中, 集 线 器 / 交 换 机 / 网 桥 通 过 电 缆 使 得 计 算 机 打 印 机 和 工 作 站 彼 此 之 间 相 互 连 接 以 太 网 具 有 的 一 般 特 征 概 述 如 下 : 共 享 媒 体 : 所 有 网 络 设 备 依 次 使 用 同 一 通 信 媒 体 广 播 域 : 需 要 传 输 的 帧 被 发 送 到 所 有 节 点, 但 只 有 寻 址 到 的 节 点 才 会 接 收 到 帧 CSMA/CD: 以 太 网 中 利 用 载 波 监 听 多 路 访 问 / 冲 突 检 测 方 法 (Carrier Sense Multiple Access/Collision Detection) 以 防 止 twp 或 更 多 节 点 同 时 发 送 MAC 地 址 : 媒 体 访 问 控 制 层 的 所 有 Ethernet 网 络 接 口 卡 (NIC) 都 采 用 48 位 网 络 地 址 这 种 地 址 全 球 唯 一 Ethernet 基 本 网 络 组 成 : 共 享 媒 体 和 电 缆 :10BaseT( 双 绞 线 ),10Base-2( 同 轴 细 缆 ),10Base-5( 同 轴 粗 缆 ) 转 发 器 或 集 线 器 : 集 线 器 或 转 发 器 是 用 来 接 收 网 络 设 备 上 的 大 量 以 太 网 连 接 的 一 类 设 备 通 过 某 个 连 接 的 接 收 双 方 获 得 的 数 据 被 重 新 使 用 并 发 送 到 传 输 双 方 中 所 有 连 接 设 备 上, 以 获 得 传 输 型 设 备 网 桥 : 网 桥 属 于 第 二 层 设 备, 负 责 将 网 络 划 分 为 独 立 的 冲 突 域 获 分 段, 达 到 能 在 同 一 个 域 / 分 段 中 维 持 广 播 及 共 享 的 目 标 网 桥 中 包 括 一 份 涵 盖 所 有 分 段 和 转 发 帧 的 表 格, 以 确 保 分 段 内 及 其 周 围 的 通 信 行 为 正 常 进 行 交 换 机 : 交 换 机, 与 网 桥 相 同, 也 属 于 第 二 层 设 备, 且 是 一 种 多 端 口 设 备 交 换 机 所 支 持 的 功 能 类 似 于 网 桥, 但 它 比 网 桥 更 具 有 的 优 势 是, 它 可 以 临 时 将 任 意 两 个 端 口 连 接 在 58

59 一 起 交 换 机 包 括 一 个 交 换 矩 阵, 通 过 它 可 以 迅 速 连 接 端 口 或 解 除 端 口 连 接 与 集 线 器 不 同, 交 换 机 只 转 发 从 一 个 端 口 到 其 它 连 接 目 标 节 点 且 不 包 含 广 播 的 端 口 的 帧 以 太 网 协 议 :IEEE 标 准 中 提 供 了 以 太 帧 结 构 当 前 以 太 网 支 持 光 纤 和 双 绞 线 媒 体 支 持 下 的 四 种 传 输 速 率 : 10 Mbps 10Base-T Ethernet(802.3) 100 Mbps Fast Ethernet(802.3u) 1000 Mbps Gigabit Ethernet(802.3z)) 10 Gigabit Ethernet IEEE 802.3ae 4.6 无 线 通 信 技 术 目 前 使 用 较 广 泛 的 近 距 无 线 通 信 技 术 是 蓝 牙 (Bluetooth), 无 线 局 域 网 (Wi-Fi) 和 红 外 数 据 传 输 (IrDA) 同 时 还 有 一 些 具 有 发 展 潜 力 的 近 距 无 线 技 术 标 准, 它 们 分 别 是 : ZigBee 超 宽 频 (Ultra WideBand) 短 距 通 信 (NFC) WiMedia GPS DECT 无 线 1394 和 专 用 无 线 系 统 等 它 们 都 有 其 立 足 的 特 点, 或 基 于 传 输 速 度 距 离 耗 电 量 的 特 殊 要 求 ; 或 着 眼 于 功 能 的 扩 充 性 ; 或 符 合 某 些 单 一 应 用 的 特 别 要 求 ; 或 建 立 竞 争 技 术 的 差 异 化 等 但 是 没 有 一 种 技 术 可 以 完 美 到 足 以 满 足 所 有 的 需 求 1 蓝 牙 技 术 蓝 牙 (Bluetooth) 技 术 使 用 高 速 跳 频 和 时 分 多 址 等 先 进 技 术, 在 近 距 离 内 最 廉 价 地 将 几 台 数 字 化 设 备 ( 各 种 移 动 设 备 固 定 通 信 设 备 计 算 机 及 其 终 端 设 备 各 种 数 字 数 据 系 统, 如 数 字 照 相 机 数 字 摄 像 机 等, 甚 至 各 种 家 用 电 器 自 动 化 设 备 ) 呈 网 状 链 接 起 来 蓝 牙 技 术 将 是 网 络 中 各 种 外 围 设 备 接 口 的 统 一 桥 梁, 它 消 除 了 设 备 之 间 的 连 线, 取 而 代 之 以 无 线 连 接 蓝 牙 技 术 的 规 范 及 特 点 : 蓝 牙 的 标 准 是 IEEE802.15, 工 作 在 2.4GHz 频 带, 带 宽 为 1Mb/s 以 时 分 方 式 进 行 全 双 工 通 信, 其 基 带 协 议 是 电 路 交 换 和 分 组 交 换 的 组 合 一 个 跳 频 频 率 发 送 一 个 同 步 分 组, 每 个 分 组 占 用 一 个 时 隙, 使 用 扩 频 技 术 也 可 扩 展 到 5 个 时 隙 同 时, 蓝 牙 技 术 支 持 1 个 异 步 数 据 通 道 或 3 个 并 发 的 同 步 话 音 通 道, 或 1 个 同 时 传 送 异 步 数 据 和 同 步 话 音 的 通 道 每 一 个 话 音 通 道 支 持 64kb/s 的 同 步 话 音 ; 异 步 通 道 支 持 最 大 速 率 为 721kb/s, 反 向 应 答 速 率 为 kb/s 的 非 对 称 连 接, 或 者 是 kb/s 的 对 称 连 接 依 据 发 射 输 出 电 平 功 率 不 同, 蓝 牙 传 输 有 3 种 距 离 等 级 :Class1 为 100m 左 右 ;Class2 约 为 10m;Class3 约 为 2-3m 一 般 情 况 下, 其 正 常 的 工 作 范 围 是 10m 半 径 之 内 在 此 范 围 内, 可 进 行 多 台 设 备 间 的 互 联 蓝 牙 技 术 的 特 点 包 括 :1 采 用 跳 频 技 术, 数 据 包 短, 抗 信 号 衰 减 能 力 强 ;2 采 用 快 速 跳 频 和 前 向 纠 错 方 案 以 保 证 链 路 稳 定, 减 少 同 频 干 扰 和 远 距 离 传 输 时 的 随 机 噪 声 影 响 ; 3 使 用 2.4GHzISM 频 段, 无 须 申 请 许 可 证 ;4 可 同 时 支 持 数 据 音 频 视 频 信 号 ;5 采 用 FM 调 制 方 式, 降 低 设 备 的 复 杂 性 蓝 牙 匹 配 规 则 及 使 用 注 意 : 59

60 蓝 牙 技 术 作 为 一 种 标 准 开 放 性 无 线 接 入 技 术, 用 户 在 使 用 时 必 须 了 解 和 遵 守 其 标 准 技 术 规 范 两 个 蓝 牙 设 备 在 进 行 通 讯 前, 必 须 将 其 匹 配 在 一 起, 以 保 证 其 中 一 个 设 备 发 出 的 数 据 信 息 只 会 被 经 过 允 许 的 另 一 个 设 备 所 接 受 蓝 牙 技 术 将 设 备 分 为 两 种 : 主 设 备 和 从 设 备 蓝 牙 主 设 备 特 点 : 主 设 备 一 般 具 有 输 入 端 在 进 行 蓝 牙 匹 配 操 作 时, 用 户 通 过 输 入 端 可 输 入 随 机 的 匹 配 密 码 来 将 两 个 设 备 匹 配 蓝 牙 手 机 安 装 有 蓝 牙 模 块 的 PC 等 都 是 主 设 备 ( 例 如 : 蓝 牙 手 机 和 蓝 牙 PC 进 行 匹 配 时, 用 户 可 在 蓝 牙 手 机 上 任 意 输 入 一 组 数 字, 然 后 在 蓝 牙 PC 上 输 入 相 同 的 一 组 数 字, 来 完 成 这 两 个 设 备 之 间 的 匹 配 ) 蓝 牙 主 设 备 特 点 : 从 设 备 一 般 不 具 备 输 入 端 因 此 从 设 备 在 出 厂 时, 在 其 蓝 牙 芯 片 中, 固 化 有 一 个 4 位 或 6 位 数 字 的 匹 配 密 码 蓝 牙 耳 机 优 士 通 UD 笔 等 都 是 从 设 备 ( 例 如 : 蓝 牙 PC 与 UD 笔 匹 配 时, 用 户 将 UD 笔 上 的 蓝 牙 匹 配 密 码 正 确 的 输 入 到 蓝 牙 PC 上, 完 成 UD 笔 与 蓝 牙 PC 之 间 的 匹 配 ) 主 设 备 与 主 设 备 之 间 主 设 备 与 从 设 备 之 间, 是 可 以 互 相 匹 配 在 一 起 的 ; 而 从 设 备 与 从 设 备 是 无 法 匹 配 的 例 如 : 蓝 牙 PC 与 蓝 牙 手 机 可 以 匹 配 在 一 起 ; 蓝 牙 PC 也 可 以 与 UD 笔 匹 配 在 一 起 ; 而 UD 笔 与 UD 笔 之 间 是 不 能 匹 配 的 一 个 主 设 备, 根 据 其 类 型 的 不 同, 可 匹 配 一 个 或 多 个 其 他 设 备 例 如 : 一 部 蓝 牙 手 机, 一 般 只 能 匹 配 7 个 蓝 牙 设 备 而 一 台 蓝 牙 PC, 可 匹 配 十 多 个 或 数 十 个 蓝 牙 设 备 在 同 一 时 间, 蓝 牙 设 备 之 间 仅 支 持 点 对 点 通 讯 2. IrDA 红 外 线 数 据 协 会 IrDA(Infrared Data Association) 成 立 于 1993 年 起 初, 采 用 IrDA 标 准 的 无 线 设 备 仅 能 在 1m 范 围 内 以 kb/s 速 率 传 输 数 据, 很 快 发 展 到 4Mb/s 以 及 16Mb/s 的 速 率 IrDA 是 一 种 利 用 红 外 线 进 行 点 对 点 通 信 的 技 术, 是 第 一 个 实 现 无 线 个 人 局 域 网 (PAN) 的 技 术 目 前 它 的 软 硬 件 技 术 都 很 成 熟, 在 小 型 移 动 设 备, 如 PDA 手 机 上 广 泛 使 用 事 实 上, 当 今 每 一 个 出 厂 的 PDA 及 许 多 手 机 笔 记 本 电 脑 打 印 机 等 产 品 都 支 持 IrDA IrDA 的 主 要 优 点 是 无 需 申 请 频 率 的 使 用 权, 因 而 红 外 通 信 成 本 低 廉 并 且 还 具 有 移 动 通 信 所 需 的 体 积 小 功 耗 低 连 接 方 便 简 单 易 用 的 特 点 此 外, 红 外 线 发 射 角 度 较 小, 传 输 上 安 全 性 高 IrDA 的 不 足 在 于 它 是 一 种 视 距 传 输, 两 个 相 互 通 信 的 设 备 之 间 必 须 对 准, 中 间 不 能 被 其 它 物 体 阻 隔, 因 而 该 技 术 只 能 用 于 2 台 ( 非 多 台 ) 设 备 之 间 的 连 接 而 蓝 牙 就 没 有 此 限 制, 且 不 受 墙 壁 的 阻 隔 IrDA 目 前 的 研 究 方 向 是 如 何 解 决 视 距 传 输 问 题 及 提 高 数 据 传 输 率 3 Wi Wi-Fi 技 术 Wi-Fi(Wireless Fidelity, 无 线 高 保 真 ) 也 是 一 种 无 线 通 信 协 议, 正 式 名 称 是 IEEE802.11b, 与 蓝 牙 一 样, 同 属 于 短 距 离 无 线 通 信 技 术 Wi-Fi 速 率 最 高 可 达 11Mb/s 虽 然 在 数 据 安 全 性 方 面 比 蓝 牙 技 术 要 差 一 些, 但 在 电 波 的 覆 盖 范 围 方 面 却 略 胜 一 筹, 可 达 100 m 左 右 Wi-Fi 是 以 太 网 的 一 种 无 线 扩 展, 理 论 上 只 要 用 户 位 于 一 个 接 入 点 四 周 的 一 定 区 域 内, 60

61 就 能 以 最 高 约 11Mb/s 的 速 度 接 入 Web 但 实 际 上, 如 果 有 多 个 用 户 同 时 通 过 一 个 点 接 入, 带 宽 被 多 个 用 户 分 享,Wi-Fi 的 连 接 速 度 一 般 将 只 有 几 百 kb/s 的 信 号 不 受 墙 壁 阻 隔, 但 在 建 筑 物 内 的 有 效 传 输 距 离 小 于 户 外 WLAN 未 来 最 具 潜 力 的 应 用 将 主 要 在 SOHO 家 庭 无 线 网 络 以 及 不 便 安 装 电 缆 的 建 筑 物 或 场 所 目 前 这 一 技 术 的 用 户 主 要 来 自 机 场 酒 店 商 场 等 公 共 热 点 场 所 Wi-Fi 技 术 可 将 Wi-Fi 与 基 于 XML 或 Java 的 Web 服 务 融 合 起 来, 可 以 大 幅 度 减 少 企 业 的 成 本 例 如 企 业 选 择 在 每 一 层 楼 或 每 一 个 部 门 配 备 b 的 接 入 点, 而 不 是 采 用 电 缆 线 把 整 幢 建 筑 物 连 接 起 来 这 样 一 来, 可 以 节 省 大 量 铺 设 电 缆 所 需 花 费 的 资 金 最 初 的 IEEE 规 范 是 在 1997 年 提 出 的, 称 为 b, 主 要 目 的 是 提 供 WLAN 接 入, 也 是 目 前 WLAN 的 主 要 技 术 标 准, 它 的 工 作 频 率 也 是 2.4GHz, 与 无 绳 电 话 蓝 牙 等 许 多 不 需 频 率 使 用 许 可 证 的 无 线 设 备 共 享 同 一 频 段 随 着 Wi-Fi 协 议 新 版 本 如 a 和 g 的 先 后 推 出,Wi-Fi 的 应 用 将 越 来 越 广 泛 速 度 更 快 的 g 使 用 与 b 相 同 的 正 交 频 分 多 路 复 用 调 制 技 术 它 工 作 在 2.4GHz 频 段, 速 率 达 54Mb/s 根 据 最 近 国 际 消 费 电 子 产 品 的 发 展 趋 势 判 断,802.11g 将 有 可 能 被 大 多 数 无 线 网 络 产 品 制 造 商 选 择 作 为 产 品 标 准 微 软 推 出 的 桌 面 操 作 系 统 WindowsXP 和 嵌 入 式 操 作 系 统 WindowsCE, 都 包 含 了 对 Wi-Fi 的 支 持 其 中,WindowsCE 同 时 还 包 含 对 Wi-Fi 的 竞 争 对 手 蓝 牙 等 其 它 无 线 通 信 技 术 的 支 持 由 于 投 资 b 的 费 用 降 低, 许 多 厂 商 介 入 这 一 领 域 Intel 推 出 了 集 成 WLAN 技 术 的 笔 记 本 电 脑 芯 片 组, 不 用 外 接 无 线 网 卡, 就 可 实 现 无 线 上 网 4 NFC 技 术 NFC(Near Field Communication, 近 距 离 无 线 传 输 ) 是 由 Philips NOKIA 和 Sony 主 推 的 一 种 类 似 于 RFID( 非 接 触 式 射 频 识 别 ) 的 短 距 离 无 线 通 信 技 术 标 准 和 RFID 不 同,NFC 采 用 了 双 向 的 识 别 和 连 接 在 20cm 距 离 内 工 作 于 13.56MHz 频 率 范 围 NFC 最 初 仅 仅 是 遥 控 识 别 和 网 络 技 术 的 合 并, 但 现 在 已 发 展 成 无 线 连 接 技 术 它 能 快 速 自 动 地 建 立 无 线 网 络, 为 蜂 窝 设 备 蓝 牙 设 备 Wi-Fi 设 备 提 供 一 个 虚 拟 连 接, 使 电 子 设 备 可 以 在 短 距 离 范 围 进 行 通 讯 NFC 的 短 距 离 交 互 大 大 简 化 了 整 个 认 证 识 别 过 程, 使 电 子 设 备 间 互 相 访 问 更 直 接 更 安 全 和 更 清 楚, 不 用 再 听 到 各 种 电 子 杂 音 NFC 通 过 在 单 一 设 备 上 组 合 所 有 的 身 份 识 别 应 用 和 服 务, 帮 助 解 决 记 忆 多 个 密 码 的 麻 烦, 同 时 也 保 证 了 数 据 的 安 全 保 护 有 了 NFC, 多 个 设 备 如 数 码 相 机 PDA 机 顶 盒 电 脑 手 机 等 之 间 的 无 线 互 连, 彼 此 交 换 数 据 或 服 务 都 将 有 可 能 实 现 此 外 NFC 还 可 以 将 其 它 类 型 无 线 通 讯 ( 如 Wi-Fi 和 蓝 牙 ) 加 速, 实 现 更 快 和 更 远 距 离 的 数 据 传 输 每 个 电 子 设 备 都 有 自 己 的 专 用 应 用 菜 单, 而 NFC 可 以 创 建 快 速 安 全 的 连 接, 而 无 需 在 众 多 接 口 的 菜 单 中 进 行 选 择 与 知 名 的 蓝 牙 等 短 距 离 无 线 通 讯 标 准 不 同 的 是, NFC 的 作 用 距 离 进 一 步 缩 短 且 不 像 蓝 牙 那 样 需 要 有 对 应 的 加 密 设 备 同 样, 构 建 Wi-Fi 家 族 无 线 网 络 需 要 多 台 具 有 无 线 网 卡 的 电 脑 打 印 机 和 其 它 设 备 除 此 之 外, 还 得 有 一 定 技 术 的 专 业 人 员 才 能 胜 任 这 一 工 作 而 NFC 被 置 入 接 入 点 之 后, 只 要 将 其 中 两 个 靠 近 就 可 以 实 现 交 流, 比 配 置 Wi-Fi 连 结 容 易 得 多 NFC 有 三 种 应 用 类 型 : 设 备 连 接 除 了 无 线 局 域 网,NFC 也 可 以 简 化 蓝 牙 连 接 比 如, 手 提 电 脑 用 户 如 果 想 61

62 在 机 场 上 网, 他 只 需 要 走 近 一 个 Wi-Fi 热 点 即 可 实 现 实 时 预 定 比 如, 海 报 或 展 览 信 息 背 后 贴 有 特 定 芯 片, 利 用 含 NFC 协 议 的 手 机 或 PDA, 便 能 取 得 详 细 信 息, 或 是 立 即 联 机 使 用 信 用 卡 进 行 票 卷 购 买 而 且, 这 些 芯 片 无 需 独 立 的 能 源 移 动 商 务 飞 利 浦 Mifare 技 术 支 持 了 世 界 上 几 个 大 型 交 通 系 统 及 在 银 行 业 为 客 户 提 供 Visa 卡 等 各 种 服 务 索 尼 的 FeliCa 非 接 触 智 能 卡 技 术 产 品 在 中 国 香 港 及 深 圳 新 加 坡 日 本 的 市 场 占 有 率 非 常 高, 主 要 应 用 在 交 通 及 金 融 机 构 总 而 言 之, 这 项 新 技 术 正 在 改 写 无 线 网 络 连 接 的 游 戏 规 则, 但 NFC 的 目 标 并 非 是 完 全 取 代 蓝 牙 Wi-Fi 等 其 他 无 线 技 术, 而 是 在 不 同 的 场 合 不 同 的 领 域 起 到 相 互 补 充 的 作 用 所 以 如 今 后 来 居 上 的 NFC 发 展 态 势 相 当 迅 速! 5 ZigBee 技 术 ZigBee 主 要 应 用 在 短 距 离 范 围 之 内 并 且 数 据 传 输 速 率 不 高 的 各 种 电 子 设 备 之 间 ZigBee 名 字 来 源 于 蜂 群 使 用 的 赖 以 生 存 和 发 展 的 通 信 方 式, 蜜 蜂 通 过 跳 ZigZag 形 状 的 舞 蹈 来 分 享 新 发 现 的 食 物 源 的 位 置 距 离 和 方 向 等 信 息 ZigBee 联 盟 成 立 于 2001 年 8 月 2002 年 下 半 年,Invensys Mitsubishi Motorola 以 及 Philips 半 导 体 公 司 四 大 巨 头 共 同 宣 布 加 盟 ZigBee 联 盟, 以 研 发 名 为 ZigBee 的 下 一 代 无 线 通 信 标 准 到 目 前 为 止, 该 联 盟 大 约 已 有 27 家 成 员 企 业 所 有 这 些 公 司 都 参 加 了 负 责 开 发 ZigBee 物 理 和 媒 体 控 制 层 技 术 标 准 的 IEEE 工 作 组 ZigBee 联 盟 负 责 制 定 网 络 层 以 上 协 议 目 前, 标 准 制 订 工 作 已 完 成 ZigBee 协 议 比 蓝 牙 高 速 率 个 人 区 域 网 或 x 无 线 局 域 网 更 简 单 实 用 ZigBee 可 以 说 是 蓝 牙 的 同 族 兄 弟, 它 使 用 2.4 GHz 波 段, 采 用 跳 频 技 术 与 蓝 牙 相 比,ZigBee 更 简 单 速 率 更 慢 功 率 及 费 用 也 更 低 它 的 基 本 速 率 是 250kb/s, 当 降 低 到 28kb/s 时, 传 输 范 围 可 扩 大 到 134m, 并 获 得 更 高 的 可 靠 性 另 外, 它 可 与 254 个 节 点 联 网 可 以 比 蓝 牙 更 好 地 支 持 游 戏 消 费 电 子 仪 器 和 家 庭 自 动 化 应 用 人 们 期 望 能 在 工 业 监 控 传 感 器 网 络 家 庭 监 控 安 全 系 统 和 玩 具 等 领 域 拓 展 ZigBee 的 应 用 ZigBee 技 术 特 点 主 要 包 括 以 下 几 个 部 分 : 数 据 传 输 速 率 低 只 有 10kb/s~250kb/s, 专 注 于 低 传 输 应 用 功 耗 低 在 低 耗 电 待 机 模 式 下, 两 节 普 通 5 号 干 电 池 可 使 用 6 个 月 以 上 这 也 是 ZigBee 的 支 持 者 所 一 直 引 以 为 豪 的 独 特 优 势 成 本 低 因 为 ZigBee 数 据 传 输 速 率 低, 协 议 简 单, 所 以 大 大 降 低 了 成 本 ; 积 极 投 入 ZigBee 开 发 的 Motorola 以 及 Philips, 均 已 在 2003 年 正 式 推 出 芯 片, 飞 利 浦 预 估, 应 用 于 主 机 端 的 芯 片 成 本 和 其 它 终 端 产 品 的 成 本 比 蓝 牙 更 具 价 格 竞 争 力 网 络 容 量 大 每 个 ZigBee 网 络 最 多 可 支 持 255 个 设 备, 也 就 是 说 每 个 ZigBee 设 备 可 以 与 另 外 254 台 设 备 相 连 接 有 效 范 围 小 有 效 覆 盖 范 围 10~75m 之 间, 具 体 依 据 实 际 发 射 功 率 的 大 小 和 各 种 不 同 的 应 用 模 式 而 定, 基 本 上 能 够 覆 盖 普 通 的 家 庭 或 办 公 室 环 境 工 作 频 段 灵 活 使 用 的 频 段 分 别 为 2.4GHz 868MHz( 欧 洲 ) 及 915MHz( 美 国 ), 均 为 62

63 免 执 照 频 段 根 据 ZigBee 联 盟 目 前 的 设 想,ZigBee 的 目 标 市 场 主 要 有 PC 外 设 ( 鼠 标 键 盘 游 戏 操 控 杆 ) 消 费 类 电 子 设 备 (TV VCR CD VCD DVD 等 设 备 上 的 遥 控 装 置 ) 家 庭 内 智 能 控 制 ( 照 明 煤 气 计 量 控 制 及 报 警 等 ) 玩 具 ( 电 子 宠 物 ) 医 护 ( 监 视 器 和 传 感 器 ) 工 控 ( 监 视 器 传 感 器 和 自 动 控 制 设 备 ) 等 非 常 广 阔 的 领 域 6 UWB 技 术 超 宽 带 技 术 UWB(Ultra Wideband) 是 一 种 无 线 载 波 通 信 技 术, 它 不 采 用 正 弦 载 波, 而 是 利 用 纳 秒 级 的 非 正 弦 波 窄 脉 冲 传 输 数 据, 因 此 其 所 占 的 频 谱 范 围 很 宽 UWB 可 在 非 常 宽 的 带 宽 上 传 输 信 号, 美 国 FCC 对 UWB 的 规 定 为 : 在 3.1~10.6GHz 频 段 中 占 用 500MHz 以 上 的 带 宽 由 于 UWB 可 以 利 用 低 功 耗 低 复 杂 度 发 射 / 接 收 机 实 现 高 速 数 据 传 输, 在 近 年 来 得 到 了 迅 速 发 展 它 在 非 常 宽 的 频 谱 范 围 内 采 用 低 功 率 脉 冲 传 送 数 据 而 不 会 对 常 规 窄 带 无 线 通 信 系 统 造 成 大 的 干 扰, 并 可 充 分 利 用 频 谱 资 源 基 于 UWB 技 术 而 构 建 的 高 速 率 数 据 收 发 机 有 着 广 泛 的 用 途 UWB 技 术 具 有 系 统 复 杂 度 低, 发 射 信 号 功 率 谱 密 度 低, 对 信 道 衰 落 不 敏 感, 低 截 获 能 力, 定 位 精 度 高 等 优 点, 尤 其 适 用 于 室 内 等 密 集 多 径 场 所 的 高 速 无 线 接 入, 非 常 适 于 建 立 一 个 高 效 的 无 线 局 域 网 或 无 线 个 域 网 (WPAN) UWB 主 要 应 用 在 小 范 围 高 分 辨 率 能 够 穿 透 墙 壁 地 面 和 身 体 的 雷 达 和 图 像 系 统 中 除 此 之 外, 这 种 新 技 术 适 用 于 对 速 率 要 求 非 常 高 ( 大 于 100 Mb/s) 的 LANs 或 PANs UWB 最 具 特 色 的 应 用 将 是 视 频 消 费 娱 乐 方 面 的 无 线 个 人 局 域 网 (PANs) 现 有 的 无 线 通 信 方 式,802.11b 和 蓝 牙 的 速 率 太 慢, 不 适 合 传 输 视 频 数 据 ;54 Mb/s 速 率 的 a 标 准 可 以 处 理 视 频 数 据, 但 费 用 昂 贵 而 UWB 有 可 能 在 10 m 范 围 内, 支 持 高 达 110 Mb/s 的 数 据 传 输 率, 不 需 要 压 缩 数 据, 可 以 快 速 简 单 经 济 地 完 成 视 频 数 据 处 理 具 有 一 定 相 容 性 和 高 速 低 成 本 低 功 耗 的 优 点 使 得 UWB 较 适 合 家 庭 无 线 消 费 市 场 的 需 求 :UWB 尤 其 适 合 近 距 离 内 高 速 传 送 大 量 多 媒 体 数 据 以 及 可 以 穿 透 障 碍 物 的 突 出 优 点, 让 很 多 商 业 公 司 将 其 看 作 是 一 种 很 有 前 途 的 无 线 通 信 技 术, 应 用 于 诸 如 将 视 频 信 号 从 机 顶 盒 无 线 传 送 到 数 字 电 视 等 家 庭 场 合 当 然,UWB 未 来 的 前 途 还 要 取 决 于 各 种 无 线 方 案 的 技 术 发 展 成 本 用 户 使 用 习 惯 和 市 场 成 熟 度 等 多 方 面 的 因 素 63

64 第 5 章 常 用 传 感 器 传 感 器 是 一 种 以 测 量 为 目 的, 以 一 定 精 度 把 被 测 量 ( 温 度 压 力 速 度 等 ) 转 换 为 与 之 有 确 定 关 系 的 易 于 处 理 的 电 量 信 号 输 出 的 装 置 如 果 传 感 器 进 一 步 对 此 输 出 信 号 进 行 处 理, 转 换 成 标 准 统 一 信 号 ( 例 如 :4-20mA 或 1-5V;0-10mA 或 0-5V 等 ) 时, 此 时 的 传 感 器 一 般 称 为 变 送 器 5.1 传 感 器 分 类 通 常 传 感 器 按 下 列 原 则 进 行 分 类 1 按 被 检 测 量 分 类 按 被 检 测 量 分 类, 可 分 为 物 理 量 传 感 器, 化 学 量 传 感 器, 生 物 量 传 感 器 类 组 具 体 名 称 压 力 传 感 器 力 传 感 器 力 矩 传 感 器 速 度 传 感 器 加 速 度 力 学 量 传 感 器 传 感 器 流 量 传 感 器 位 移 传 感 器 位 置 传 感 器 尺 度 传 感 器 密 度 传 感 器 粘 度 传 感 器 硬 度 传 感 器 浊 度 传 感 器 物 理 量 传 感 器 化 学 量 热 学 量 传 感 器 光 学 量 传 感 器 磁 学 量 传 感 器 电 学 量 传 感 器 声 学 量 传 感 器 射 线 传 感 器 离 子 传 感 器 温 度 传 感 器 热 流 传 感 器 热 导 率 传 感 器 可 见 光 传 感 器 红 外 光 传 感 器 紫 外 光 传 感 器 照 度 传 感 器 色 度 传 感 器 图 像 传 感 器 亮 度 传 感 器 磁 场 强 度 传 感 器 磁 通 传 感 器 电 流 传 感 器 电 压 传 感 器 电 场 强 度 传 感 器 声 压 传 感 器 噪 声 传 感 器 超 声 波 传 感 器 声 表 面 波 传 感 器 x 射 线 传 感 器 β 射 线 传 感 器 γ 射 线 传 感 器 辐 射 剂 量 传 感 器 离 子 活 度 传 感 器 离 子 浓 度 传 感 器 成 分 传 感 器 PH 值 传 感 器 传 感 器 气 体 传 感 器 气 体 分 压 传 感 器 气 体 浓 度 传 感 器 湿 度 传 感 器 露 点 传 感 器 水 分 传 感 器 生 物 量 传 感 器 64 生 化 量 传 感 器 生 理 量 传 感 器 酶 式 葡 萄 糖 传 感 器 酶 式 尿 素 传 感 器 酶 式 胆 固 醇 传 感 器 免 疫 血 型 传 感 器 微 生 物 BOD 传 感 器 微 生 物 谷 氨 酸 传 感 器 血 液 PH 传 感 器 血 氧 传 感 器 血 液 二 氧 化 碳 传 感 器 血 钾 传 感 器 血 钠 传 感 器 血 钙 传 感 器 体 压 传 感 器 脉 搏 传 感 器 心 音 传 感 器 体 温 传 感 器 血 流

65 传 感 器 呼 吸 传 感 器 血 容 量 传 感 器 心 电 图 传 感 器 脑 电 图 传 感 器 肌 电 图 传 感 器 视 网 膜 电 图 传 感 器 2. 按 物 理 原 理 分 类 这 种 分 类 方 法 是 以 传 感 器 的 物 理 原 理 作 为 分 类 依 据 可 分 为 压 阻 式 压 电 式 电 感 式 电 容 式 应 变 式 霍 尔 式 ; 这 种 分 类 方 法 有 利 于 传 感 器 专 业 工 作 者 从 原 理 和 设 计 上 作 归 纳 性 的 分 析 和 研 究 3. 按 能 量 的 传 递 方 式 分 类 按 能 量 的 传 递 方 式 分 类, 传 感 器 可 分 为 有 源 传 感 器 和 无 源 传 感 器 两 大 类 有 源 传 感 器 将 非 电 量 转 换 为 电 量 无 源 传 感 器 本 身 并 不 是 一 个 换 能 器, 被 测 非 电 量 仅 对 传 感 器 中 的 能 量 起 控 制 或 调 节 作 用, 所 以 它 必 须 具 有 辅 助 能 源 电 源 5.2 温 度 传 感 器 温 度 传 感 器 种 类 很 多, 主 要 有 热 电 偶 传 感 器 热 电 阻 型 温 度 传 感 器 热 敏 电 阻 晶 体 管 温 度 传 感 器 PN 结 温 度 传 感 器 热 膨 胀 型 温 度 传 感 器 示 温 涂 料 型 温 度 传 感 器 辐 射 式 温 度 传 感 器 热 释 电 式 温 度 传 感 器 电 容 型 温 度 传 感 器 光 纤 温 度 传 感 器 热 敏 电 阻 热 敏 电 阻 是 对 温 度 敏 感 的 半 导 体 元 件, 主 要 特 征 是 随 着 外 界 环 境 温 度 的 变 化, 其 阻 值 会 相 应 发 生 较 大 改 变 电 阻 值 对 温 度 的 依 赖 关 系 称 为 阻 温 特 性 热 敏 电 阻 根 据 温 度 系 数 分 为 两 类 : 正 温 度 系 数 热 敏 电 阻 和 负 温 度 系 数 热 敏 电 阻 由 于 特 性 上 的 区 别, 应 用 场 合 互 不 相 同 正 温 度 系 数 热 敏 电 阻 简 称 PTC( 是 Positive Temperature Coefficient 的 缩 写 ), 超 过 一 定 的 温 度 ( 居 里 温 度 ) 时, 它 的 电 阻 值 随 着 温 度 的 升 高 呈 阶 跃 性 的 增 高 负 温 度 系 数 热 敏 电 阻 简 称 NTC( 是 Negative Temperature Coefficient 的 缩 写 ), 它 的 阻 值 是 随 着 温 度 的 升 高 而 下 降 的 主 要 是 以 锰 钴 镍 和 铜 等 金 属 氧 化 物 为 主 要 材 料, 采 用 陶 瓷 工 艺 制 造 而 成 的 这 些 金 属 氧 化 物 材 料 都 具 有 半 导 体 性 质, 因 为 在 导 电 方 式 上 完 全 类 似 锗 硅 等 半 导 体 材 料 热 敏 电 阻 的 规 格 是 在 摄 氏 25 度 时 的 阻 值, 如 某 热 敏 电 阻 的 规 格 为 10K 欧, 表 示 该 电 阻 在 25 时 呈 现 的 阻 值 为 10K 热 敏 电 阻 的 测 温 范 围 一 般 在 -50 ~+350 应 用 设 计 : 电 子 温 度 计 电 子 万 年 历 电 子 钟 温 度 显 示 电 子 礼 品 ; * 冷 暖 设 备 加 热 恒 温 电 器 ; * 汽 车 电 子 温 度 测 控 电 路 ; * 温 度 传 感 器 温 度 仪 表 ; * 医 疗 电 子 设 备 电 子 盥 洗 设 备 ; 65

66 * 手 机 电 池 及 充 电 电 器 某 种 NTC 热 敏 电 阻 分 度 表 实 测 如 下 : 热 敏 电 阻 信 号 调 理 电 路 如 图 5-1 所 示 图 5-1 热 敏 电 阻 信 号 调 理 电 路 热 敏 电 阻 Rt 与 电 阻 R1\R2\R3 组 成 电 桥 电 路, 当 温 度 变 化 时,Rt 的 阻 值 发 生 变 化, 引 起 电 桥 输 出, 经 运 放 TLC272 差 动 放 大 后, 送 A/D 转 换 电 路 热 电 偶 66 热 电 偶 的 原 理 基 于 热 电 效 应, 如 图 5-2(a) 所 示 图 中, 将 两 种 不 同 导 体 A B 两 端 连 接 在 一 起 组 成 闭 合 回 路, 并 使 两 端 处 于 不 同 的 温 度 环 境, 在 回 路 中 会 产 生 热 电 动 势 而 形

67 成 电 流, 这 一 现 象 称 为 热 电 效 应 这 样 的 两 种 不 同 导 体 的 组 合 称 为 热 电 偶, 相 应 的 电 动 势 和 电 流 称 为 热 电 动 势 和 热 电 流, 导 体 A B 称 为 热 电 极, 置 于 被 测 温 度 (T) 的 一 端 称 为 工 作 端 ( 热 端 ), 另 一 端 (T0) 称 为 参 考 端 ( 冷 端 ) 实 验 证 明, 热 电 动 势 与 热 电 偶 两 端 的 温 度 差 成 比 例, 即 EAB(T,T0)=K(T-T0) 式 中,K 与 导 体 的 电 子 浓 度 有 关 图 5-2 (a) 热 电 效 应 ; (b) 热 电 偶 的 电 路 符 号 常 用 的 热 电 偶 材 料 有 热 电 偶 分 度 号 热 电 极 材 料 正 极 负 极 S 铂 铑 10 纯 铂 R 铂 铑 13 纯 铂 B 铂 铑 30 铂 铑 6 K 镍 铬 镍 硅 T 纯 铜 铜 镍 J 铁 铜 镍 N 镍 铬 硅 镍 硅 E 镍 铬 铜 镍 由 于 热 电 偶 材 料 价 格 昂 贵, 本 身 不 适 合 进 行 远 距 离 测 量, 因 此 需 要 使 用 补 偿 导 线 来 延 长 测 量 距 离 图 5-3 补 偿 导 线 的 使 用 67

68 补 偿 导 线 是 特 种 导 线, 用 于 热 电 偶 和 二 次 ( 基 地 ) 仪 表 间 的 信 号 传 输, 能 够 消 除 热 电 偶 冷 端 温 度 变 化 引 起 的 测 量 误 差, 保 证 仪 表 对 介 质 温 度 的 精 确 测 量 用 它 们 将 热 电 偶 与 测 量 装 置 联 接, 以 补 偿 热 电 偶 连 接 处 的 温 度 变 化 所 产 生 的 误 差 与 热 电 阻 和 热 敏 电 阻 相 同, 热 电 偶 也 采 用 分 度 表 形 式 给 出 其 温 度 与 热 电 势 的 关 系 可 以 看 出, 热 电 偶 的 温 度 与 其 热 电 势 不 呈 线 性 关 系, 因 此 在 使 用 时 需 进 行 线 性 补 偿 或 采 用 查 表 方 式 进 行 温 度 计 算 由 于 热 电 势 很 小 (mv 级 ), 在 进 行 测 量 时 需 进 行 放 大 等 信 号 处 理 下 图 是 使 用 K 型 热 电 偶, 将 0 ~500 的 温 度 转 换 为 0V~5V 电 压 的 电 路 除 放 大 外 还 有 基 准 节 点 温 度 补 偿 电 路 和 断 线 检 测 电 路, 而 线 性 化 处 理 由 微 处 理 器 进 行 热 电 偶 的 输 出 电 压 极 小, 每 1 约 为 40uV, 因 此, 运 放 要 采 用 高 灵 敏 度 运 放, 电 路 中 采 用 AD707J 运 放 K 型 热 电 偶 500 ( 满 度 ) 的 感 应 电 势 为 20.64mV, 运 放 增 益 Av 应 为 68

69 Av=5V/20.64mV=242 电 路 中,R7=1K,R5=232K,Rp3=20K 决 定 增 益 的 大 小, 调 节 Rp3 使 增 益 在 233 和 253 之 间 R3 和 C1 为 低 通 滤 波 器, 时 间 常 数 越 大, 消 除 噪 声 越 好, 但 响 应 速 度 变 慢 另 外,R3 增 大, 运 放 的 输 入 偏 置 电 流 要 产 生 偏 移 电 压, 因 此,r3 阻 值 不 能 过 大 AD707 偏 置 输 入 电 流 为 2.5nA R3=10K 时, 产 生 25uV 的 偏 移 电 压 基 准 节 点 温 度 补 偿 采 用 温 度 传 感 器 LM35D, 它 的 每 1 温 度 相 应 输 出 电 压 为 10mV, 用 电 阻 分 压, 并 用 电 位 器 Rp1 调 整 使 其 A 点 电 压 为 40.44uV/, 进 行 基 准 节 点 的 温 度 补 偿 R2 是 检 测 传 感 器 断 线 的 电 阻, 热 电 偶 断 线 时, 运 放 输 出 就 要 超 出 范 围 然 而, 因 接 有 电 阻 R2, 热 电 偶 的 内 阻 要 产 生 偏 移 电 压 例 如, 热 电 阻 内 阻 为 200( 包 括 Rp1 和 R6 的 阻 值 ),R2=100M 时, 也 要 产 生 24uV 的 偏 移 电 压 另 外, 断 线 时 运 放 输 入 偏 置 电 流 要 经 过 R2, 因 此, 不 能 采 用 输 入 偏 置 电 流 较 大 的 运 放 图 5-4 热 电 偶 信 号 调 理 电 路 其 它 常 用 温 度 传 感 器 1 热 电 阻 与 热 敏 电 阻 ( 半 导 体 材 料 制 成 ) 不 同, 一 般 用 铂 (Pt) 或 铜 (Cu) 材 料 制 成, 只 有 正 温 度 系 数 调 理 方 法 与 热 敏 电 阻 类 似, 而 且 也 是 采 用 分 都 表 方 式 表 示 其 电 阻 变 化 与 温 度 的 69

70 关 系 一 般 常 用 Pt100 和 Pt1000 等 2 模 拟 集 成 温 度 传 感 器 图 5-5 二 线 式 铂 热 电 阻 接 线 实 例 模 拟 集 成 温 度 传 感 器 实 质 上 是 一 种 半 导 体 集 成 电 路 它 是 利 用 晶 体 管 的 B-E 结 压 降 的 不 饱 和 值 Vbe 与 热 力 学 温 度 T 和 通 过 发 射 极 电 流 I 的 下 述 关 系 实 现 对 温 度 的 检 测 Vbe = kit ln I q 式 中, k 是 波 尔 兹 曼 常 数,q 是 电 子 电 荷 的 绝 对 值 集 成 温 度 传 感 器 具 有 线 性 好 精 度 高 灵 敏 度 高 体 积 小 使 用 方 便 等 特 点, 得 到 了 广 泛 应 用 其 输 出 形 式 分 为 电 压 输 出 和 电 流 输 出 两 种 一 般 测 温 范 围 为 -50 ~150 左 右 常 用 的 集 成 温 度 传 感 器 有 AD590 AN6701 LM35 LM335/LM336/LM334 等 3 数 字 温 度 传 感 器 数 字 温 度 传 感 器 直 接 将 温 度 信 号 变 为 数 字, 微 处 理 器 可 直 接 读 取 其 温 度 数 据, 进 行 简 单 计 算 后 即 可 获 得 温 度 值 精 度 非 常 高, 象 DALLAS 公 司 的 DS18B20 就 是 一 款 数 字 温 度 传 感 器, 其 测 温 范 围 一 般 在 -30 ~150 左 右, 精 度 可 达 , 而 且 接 口 简 单 该 传 感 器 应 用 非 常 广 泛, 详 细 介 绍 在 单 片 机 培 训 教 材 中 描 述 5.3 光 电 式 传 感 器 光 与 光 电 效 应 光 是 一 种 电 磁 波, 其 频 谱 如 图 5-6 所 示 可 见 光 只 是 电 磁 波 谱 中 的 一 小 部 分, 波 长 在 780~380 nm 之 间, 红 光 频 率 最 低, 紫 光 频 率 最 高 光 的 频 率 越 高, 携 带 的 能 量 越 大 70

71 图 5-6 光 的 频 谱 光 电 式 传 感 器 是 将 光 量 的 变 化 转 变 为 电 量 的 变 化 的 一 种 变 化 器, 其 理 论 基 础 是 光 电 效 应 在 光 线 的 作 用 下, 能 使 电 子 逸 出 物 体 表 面 的 现 象 称 为 外 光 电 效 应 ; 在 光 线 作 用 下, 能 使 物 体 的 电 阻 率 改 变 的 现 象 称 为 内 光 电 效 应 ; 在 光 线 的 作 用 下 能 使 物 体 产 生 一 定 方 向 的 电 动 势 的 现 象 称 为 阻 挡 层 光 电 效 应 由 于 光 电 元 件 反 应 快 结 构 简 单 而 且 有 较 高 的 可 靠 性 等 优 点, 因 此, 它 在 自 动 化 系 统 中 得 到 了 非 常 广 泛 的 应 用 光 电 元 件 是 构 成 光 电 式 传 感 器 最 主 要 的 部 件 紫 外 线 传 感 器 紫 外 线 传 感 器 是 一 种 专 门 用 来 检 测 紫 外 线 的 光 电 器 件 它 的 光 谱 响 应 为 85~260 nm, 对 紫 外 线 特 别 敏 感, 尤 其 对 燃 烧 时 产 生 的 紫 外 线 反 应 更 为 强 烈, 甚 至 可 以 检 测 5m 以 内 打 火 机 火 焰 发 出 的 紫 外 线 它 除 了 会 受 到 高 压 水 银 灯 γ 射 线 闪 电 及 焊 接 弧 光 的 干 扰 外, 对 可 见 光 不 敏 感 此 外, 它 还 具 有 灵 敏 度 高 受 光 角 度 宽 ( 视 角 范 围 达 120 ) 响 应 速 度 快 的 特 点 因 此, 紫 外 线 传 感 器 主 要 用 作 火 灾 报 警 敏 感 元 件, 故 又 称 它 为 火 灾 报 警 传 感 器 它 可 以 广 泛 地 用 于 石 油 气 体 燃 料 的 火 灾 报 警, 也 可 以 用 于 宾 馆 饭 店 办 公 室 仓 库 等 重 要 场 合 的 火 灾 报 警 图 5-7 紫 外 线 传 感 器 基 本 电 路 及 输 出 波 形 (a) 基 本 电 路 ; (b) 输 出 波 形 当 入 射 紫 外 线 光 通 量 低 于 某 值 时, 从 输 出 端 可 以 得 到 与 入 射 光 量 成 正 比 的 脉 冲 数, 但 若 光 通 量 大 于 此 值 时, 由 于 电 容 的 放 电, 管 内 电 流 就 饱 和 了 因 此 紫 外 线 传 感 器 适 合 作 光 电 开 关, 不 适 合 作 精 密 的 紫 外 线 测 量 71

72 5.33. 光 敏 电 阻 光 敏 电 阻 又 称 光 导 管, 是 一 种 均 质 半 导 体 光 电 元 件, 当 光 照 射 时 其 电 阻 值 降 低 将 其 与 一 电 阻 串 联 并 接 到 电 源 上, 便 可 把 光 信 号 变 成 电 信 号 按 光 谱 特 性 及 最 佳 工 作 波 长 范 围 分 类, 可 有 紫 外 光 可 见 光 及 红 外 光 光 敏 电 阻 类 CdS 光 敏 电 阻 覆 盖 了 紫 外 光 和 可 见 光 范 围, 其 典 型 结 构 如 图 5-8 所 示 将 CdS 粉 末 烧 结 在 陶 瓷 衬 底 上, 形 成 一 层 CdS 膜, 用 两 根 引 线 引 出 为 防 止 光 敏 电 阻 芯 片 受 潮, 均 需 采 用 密 封 结 构, 常 用 金 属 外 壳 塑 料 或 防 潮 涂 料 等 密 封 图 5-8 光 敏 电 阻 的 结 构 (a) 电 路 符 号 ; (b) 结 构 图 光 敏 管 1 光 敏 二 极 管 光 敏 二 极 管 与 普 通 半 导 体 二 极 管 的 主 要 区 别 在 于 PN 结 面 积 较 大 距 表 面 较 浅, 上 电 极 较 小, 利 于 接 受 光 照 射 以 提 高 光 电 转 换 效 率 如 前 所 述, 它 的 工 作 机 理 是 光 生 电 动 势 效 应, 即 当 受 到 光 照 时, 半 导 体 本 征 载 流 子 浓 度 增 加, 在 P 区 和 N 区 均 为 少 数 载 流 子, 在 PN 结 势 垒 作 用 下, 分 别 向 对 方 区 域 漂 移 此 时 若 将 两 端 短 路, 便 构 成 短 路 光 电 流 ; 若 两 端 开 路 或 接 负 载, 则 输 出 光 生 电 势 ; 若 加 外 电 场, 则 反 向 饱 和 电 流 增 加 光 敏 二 极 管 正 向 伏 安 特 性 与 普 通 二 极 管 相 似, 光 电 流 不 明 显 ; 反 向 特 性 受 光 照 控 制 因 此, 光 敏 二 极 管 一 般 加 反 向 偏 置 电 压, 利 用 反 向 饱 和 电 流 随 光 照 强 弱 而 变 化 进 行 工 作 光 敏 二 极 管 的 种 类 很 多 按 制 作 材 料 来 分, 有 硅 光 敏 二 极 管 (2CU 2DU 类 ), 锗 光 敏 二 极 管 (2AU 类 ); 按 不 同 峰 值 波 长 来 分, 有 近 红 外 光 硅 光 敏 二 极 管, 如 对 红 外 光 最 敏 感 的 锂 漂 移 性 硅 光 敏 二 极 管, 蓝 光 光 敏 二 极 管 等 ; 其 他 还 有 用 于 激 光 的 PIN 型 硅 光 敏 二 极 管 ( 日 本 产 SPD S MP MBC SP PP TP PD PH TPS M 等 多 种 系 列, 国 产 2CU101 2CU201 等 ) 和 灵 敏 度 更 高 的 雪 崩 光 敏 二 极 管 等 国 产 光 敏 二 极 管 一 般 有 2CU 和 2DU 两 种, 常 用 2CU 型 72

73 图 5-9 光 敏 二 极 管 电 路 符 号 和 外 形 图 5-10 光 敏 二 极 管 应 用 电 路 (a) 亮 通 电 路 ; (b) 暗 通 电 路 2 光 敏 晶 体 管 光 敏 晶 体 管 与 普 通 晶 体 管 类 似, 但 发 射 区 较 小, 当 光 照 射 到 发 射 结 上 时, 产 生 基 极 光 电 流 IL, 集 电 极 电 流 IC=βIL, 显 然 集 电 极 电 流 IC 正 比 于 照 射 光 的 强 度 光 敏 三 极 管 的 电 路 符 号 及 基 本 应 用 电 路 如 图 5-11 所 示 国 产 光 敏 三 极 管 的 型 号 主 要 有 3AU 3DU ZL 系 列, 日 本 型 号 有 TPS PT PPT PH PS PN T 等 系 列 图 5-11 光 敏 三 极 管 电 路 符 号 及 应 用 电 路 (a) 电 路 符 号 ; (b) 基 本 应 用 电 路 热 释 电 传 感 器 (PIR) 压 电 陶 瓷 类 电 介 质 在 电 极 化 后 能 保 持 极 化 状 态, 称 为 自 发 极 化 自 发 极 化 随 温 度 升 高 而 减 小, 在 居 里 点 温 度 降 为 零 因 此, 当 这 种 材 料 受 到 红 外 辐 射 而 温 度 升 高 时, 表 面 73

74 电 荷 将 减 少, 相 当 于 释 放 了 一 部 分 电 荷, 故 称 为 热 释 电 将 释 放 的 电 荷 经 放 大 器 可 转 换 为 电 压 输 出 这 就 是 热 释 电 传 感 器 的 工 作 原 理 图 5-12 LN074B 型 热 释 电 传 感 器 的 外 形 及 内 部 组 成 (a) 外 形 图 ; (b) 内 部 组 成 图 热 释 电 传 感 器 又 称 人 体 红 外 传 感 器, 被 广 泛 应 用 于 防 盗 报 警 来 客 告 知 及 非 接 触 开 关 等 红 外 领 域 当 辐 射 继 续 作 用 于 热 释 电 元 件, 使 其 表 面 电 荷 达 到 平 衡 时, 便 不 再 释 放 电 荷 因 此, 热 释 电 传 感 器 不 能 探 测 恒 定 的 红 外 辐 射 光 电 检 测 的 组 合 形 式 光 电 传 感 器 按 输 出 信 号 有 开 关 型 和 模 拟 型, 开 关 型 用 于 转 速 测 量 模 拟 开 关 位 置 开 关 等 ; 模 拟 型 用 于 光 电 式 位 移 计 光 电 比 色 计 等 光 电 检 测 必 须 具 备 光 源 被 测 物 和 光 电 元 件 按 照 光 源 被 测 物 和 光 电 元 件 三 者 的 关 系, 光 电 传 感 器 可 分 为 四 种 类 型 (1) 被 测 物 发 光 : 被 测 物 为 光 源, 可 检 测 发 光 物 的 某 些 物 理 参 数 如 光 电 比 色 高 温 计 光 照 度 计 等 (2) 被 测 物 反 光 : 可 检 测 被 测 物 体 表 面 性 质 参 数 或 状 态 参 数, 如 光 洁 度 计 和 白 度 计 等 (3) 被 测 物 透 光 : 可 检 测 被 测 物 与 吸 收 光 或 透 射 光 特 性 有 关 的 某 些 参 数, 如 浊 度 计 和 透 明 度 计 等 (4) 被 测 物 遮 光 : 检 测 被 测 物 体 的 机 械 变 化, 如 测 量 物 体 的 位 移 振 动 尺 寸 位 置 等 1 光 电 耦 合 器 如 图 5-13 所 示, 光 电 耦 合 器 是 把 发 光 器 件 和 光 敏 器 件 组 装 在 同 一 蔽 光 壳 体 内, 或 用 光 导 纤 维 把 二 者 连 接 起 来 构 成 的 器 件 当 输 入 端 加 电 信 号, 发 光 器 件 发 光, 光 敏 器 件 受 光 照 后, 输 出 光 电 流, 实 现 以 光 为 媒 介 质 的 电 信 号 传 输, 从 而 实 现 输 入 和 输 出 电 流 的 电 气 隔 离, 所 以 可 用 它 代 替 继 电 器, 变 压 器 和 斩 波 器 等 它 广 泛 应 用 于 隔 离 线 路 开 关 电 路 数 模 转 换 逻 辑 电 路 长 线 传 输 过 流 保 护 高 压 控 制 等 方 面 74

75 图 5-13 光 电 耦 合 器 (a) 结 构 ; (b) 外 形 ; (c) 图 形 符 号 2 光 断 续 器 (1) 直 射 型 光 断 续 器 : 如 图 5-14 所 示, 主 要 用 于 光 电 控 制 和 光 电 计 量 等 电 路 中 及 检 测 物 体 的 有 无 运 动 方 向 转 速 等 (2) 反 射 型 光 断 续 器 : 如 图 5-15 所 示, 主 要 用 于 光 电 式 接 近 开 关 光 电 自 动 控 制 物 体 识 别 等 图 5-14 直 射 型 光 断 续 器 图 5-15 反 射 型 光 断 续 器 5.4 超 声 波 传 感 器 1 超 声 波 介 绍 人 耳 能 够 听 到 的 机 械 波, 频 率 在 16 Hz~20 khz 之 间, 称 为 声 波 人 耳 听 不 到 的 机 械 波, 频 率 高 于 20 khz 的 称 为 超 声 波 ; 频 率 低 于 16 Hz 的 称 为 次 声 波 超 声 波 的 频 率 越 高, 就 越 接 近 光 学 的 反 射 折 射 等 特 性 超 声 波 可 分 为 纵 波 横 波 和 表 面 波 质 点 的 振 动 方 向 和 波 的 传 播 方 向 一 致 的 波 称 为 纵 波, 它 能 在 固 体 液 体 和 气 体 中 传 播 质 点 的 振 动 方 向 和 波 的 传 播 方 面 相 垂 直 的 波 称 为 横 波, 它 只 能 在 固 体 中 传 播 质 点 的 振 动 介 于 横 波 和 纵 波 之 间, 沿 着 表 面 传 播, 振 幅 随 着 深 度 的 增 加 而 迅 速 衰 减 的 波 称 为 表 面 波 超 声 波 在 介 质 中 的 传 播 速 度 取 决 于 介 质 密 度 介 质 的 弹 性 系 数 及 波 型 一 般 来 说, 在 同 一 固 体 中 横 波 声 速 为 纵 波 声 速 的 一 半 左 右, 而 表 面 波 声 速 又 低 于 横 波 声 速 当 超 声 波 在 某 一 介 质 中 传 播, 或 者 从 一 种 介 质 传 播 到 另 一 介 质 时, 遵 循 如 下 一 些 规 律 : (1) 传 播 速 度 : 超 声 波 的 传 播 速 度 与 波 长 及 频 率 成 正 比, 即 声 速 为 75

76 C=λf (2) 超 声 波 的 衰 减 : 超 声 波 在 介 质 中 传 播 时, 由 于 声 波 的 扩 散 散 射 及 吸 收, 能 量 按 指 数 规 律 衰 减 如 平 面 波 传 播 时 的 衰 减 公 式 可 写 作 Ix= I0e-2αx 其 中,I0 为 声 源 处 的 声 强 ; Ix 为 距 声 源 x 处 的 声 强 ; α 为 衰 减 系 数 ( 单 位 为 dB/mm), 水 和 一 般 低 衰 减 材 料 的 的 取 值 α 为 1~4 (3) 超 声 波 的 反 射 与 折 射 : 当 超 声 波 从 一 种 介 质 传 播 到 另 一 种 介 质 时, 在 两 种 介 质 的 分 界 面 上, 会 发 生 反 射 与 折 射 同 样 遵 循 反 射 定 律 和 折 射 定 律 : 入 射 角 与 反 射 角 折 射 角 的 正 弦 比 等 于 入 射 波 速 与 反 射 波 速 折 射 波 速 之 比 (4) 超 声 波 的 波 形 转 换 : 若 选 择 适 当 的 入 射 角, 使 纵 波 全 反 射, 那 么 在 折 射 中 只 有 横 波 出 现 ; 如 果 横 波 也 全 反 射, 那 么 在 工 件 表 面 上 只 有 表 面 波 存 在 2 超 声 波 换 能 器 超 声 波 换 能 器 也 称 为 超 声 波 探 头, 即 超 声 波 传 感 器 按 原 理 有 压 电 式 磁 致 伸 缩 式 电 磁 式 等, 其 中 压 电 式 最 常 用 压 电 式 利 用 压 电 材 料 的 逆 压 电 效 应 制 成 超 声 波 发 射 头, 利 用 压 电 效 应 制 成 超 声 波 接 收 头 按 照 不 同 的 应 用 目 的, 超 声 波 传 感 器 有 不 同 的 结 构 形 式 3 空 气 中 传 播 的 超 声 波 传 感 器 及 其 基 本 电 路 1) 遥 控 用 超 声 波 传 感 器 超 声 波 遥 控 电 路 采 用 专 用 的 在 空 气 中 传 播 的 超 声 发 射 器 ( 用 符 号 T 表 示 ) 与 接 收 器 ( 用 符 号 R 表 示 ) 成 对 配 套 使 用 超 声 波 传 感 器 的 结 构 采 用 双 压 电 陶 瓷 晶 片 结 构 将 双 压 电 陶 瓷 晶 片 固 装 在 基 座 上, 为 了 增 强 其 效 果, 在 压 电 晶 片 上 面 加 装 了 锥 形 喇 叭, 最 后 将 其 装 在 金 属 壳 体 中 并 伸 出 两 根 引 线 它 所 发 射 的 超 声 波 采 用 固 定 的 中 心 频 率, 谐 振 频 率 f0 一 般 为 40kHz 这 种 传 感 器 有 一 种 单 峰 特 性, 即 在 中 心 频 率 f0 处 灵 敏 度 最 高, 输 出 信 号 幅 度 最 大, 接 收 器 的 接 收 灵 敏 度 最 高, 而 在 中 心 频 率 两 侧 则 迅 速 衰 减 由 于 超 声 波 接 收 器 具 有 很 好 的 选 频 特 性, 因 此 在 组 成 电 路 系 统 时, 不 必 另 设 选 频 网 络 由 于 发 射 器 需 要 发 射 出 强 度 较 高 的 超 声 波 信 号, 所 以 它 的 灵 敏 度 大 于 100 db 接 收 器 应 能 良 好 地 接 收 超 声 波 信 号, 因 此 它 的 灵 敏 度 大 于 -60 db 2) 超 声 波 发 射 电 路 图 5-16 是 由 数 字 集 成 电 路 构 成 的 超 声 波 振 荡 电 路, 振 荡 器 产 生 的 高 频 电 压 通 过 耦 合 电 容 CP 供 给 超 声 波 振 子 MA40S2S CC4049 的 H1 和 H2 产 生 与 超 声 波 频 率 相 对 应 的 高 频 电 压 信 号, H3~H6 进 行 功 率 放 大, 再 经 过 耦 合 电 容 CP 传 给 超 声 波 振 子 MA40S2S 超 声 波 振 子 若 长 时 间 加 直 流 电 压, 会 使 传 感 器 特 性 明 显 变 差, 因 此, 一 般 用 交 流 电 压 通 过 耦 合 电 容 CP 供 给 传 感 器 该 电 路 通 过 调 节 R 可 改 变 振 荡 频 率 : 1 f 0 = (Hz) 2.2RC 76

77 图 5-16 数 字 式 超 声 波 振 荡 电 路 3) 超 声 波 接 收 电 路 由 于 超 声 波 传 感 器 接 收 到 的 信 号 极 其 微 弱, 因 此, 一 般 要 接 几 十 db 以 上 的 高 增 益 放 大 器 如 图 5-17 所 示, 采 用 NPN 晶 体 管 V 进 行 放 大 构 成 超 声 波 接 收 电 路, 超 声 波 传 感 器 采 用 MA40S2R 超 声 波 传 感 器 一 般 用 于 检 测 反 射 波, 它 远 离 超 声 波 发 生 源, 能 量 衰 减 较 大, 只 能 接 收 到 几 mv 左 右 的 微 弱 信 号 因 此, 实 际 应 用 时 要 加 多 级 放 大 器 图 5-17 集 成 运 放 超 声 波 接 收 电 路 5.5 压 力 传 感 器 压 力 传 感 器 的 主 要 类 别 有 电 位 器 式 应 变 式 霍 尔 式 电 感 式 压 电 式 压 阻 式 电 容 式 及 振 弦 式 等, 测 量 范 围 为 ~5 108 Pa; 信 号 输 出 有 电 阻 电 流 电 压 频 率 等 形 式 压 力 测 量 系 统 一 般 由 传 感 器 测 量 线 路 和 测 量 装 置 以 及 辅 助 电 源 所 组 成 常 见 的 信 号 测 量 装 置 有 电 流 表 电 压 表 应 变 仪 以 及 计 算 机 等 1 压 力 测 量 电 路 由 压 力 传 感 器 及 运 算 放 大 器 组 成 的 压 力 测 量 电 路 如 图 5-18 所 示 图 中, 压 力 传 感 器 采 用 43 系 列 43 系 列 是 一 种 小 型 压 阻 式 压 力 传 感 器, 有 绝 对 压 力 和 表 压 力 两 大 类 标 准 量 程 系 列 有 0~5 Psi 和 0~250 Psi, 共 分 7 挡, 每 挡 有 A B C 三 种 等 级 1 Psi=

78 103 Pa,Psi 是 磅 / 英 寸 2(bf/in2) 单 位 图 5-18 压 力 测 量 电 路 供 给 传 感 器 的 恒 流 源 电 流 I0=Uz/R2 可 通 过 R2 来 调 整, 从 而 调 节 传 感 器 的 灵 敏 度 该 电 路 的 电 流 为 ma 43 系 列 满 量 程 输 出 为 100 mv, 经 A2 A3 和 A4 放 大 后, 要 求 相 应 的 输 出 为 0~5 V, 以 此 来 决 定 放 大 器 的 放 大 倍 数 调 节 调 零 电 位 器 RP1, 使 在 零 压 力 时 输 出 为 0 V 调 节 电 位 器 RP2, 使 在 满 量 程 时, 输 出 为 5 V 2 压 力 变 送 器 电 路 图 5-19 为 一 个 用 集 成 变 送 器 XTR101 将 传 感 器 输 出 电 压 转 为 4~20 ma 电 流 的 二 线 制 变 送 器 电 路 二 线 制 即 信 号 负 载 和 电 源 串 联, 信 号 需 要 远 距 离 传 送 ( 可 能 达 几 百 米 ), 该 系 统 采 用 直 流 24 V 电 源 负 载 可 以 用 串 联 电 流 表 来 指 示, 也 可 以 用 如 图 所 示 的 RL=240 Ω 转 换 为 0.96~4.8 V 的 电 压 输 出 来 指 示 图 ~20 ma 压 力 变 送 器 电 路 78

79 5.6 气 体 检 测 电 路 1 高 灵 敏 度 氢 气 ( 煤 气 泄 漏 ) 报 警 器 电 路 应 用 3DOH 氢 敏 传 感 器 制 作 的 高 灵 敏 度 的 氢 气 报 警 器 电 路 如 图 5-20 所 示 由 于 家 用 管 道 煤 气 中 的 氢 气 含 量 大 约 为 40%, 所 以 本 电 路 也 可 以 用 来 作 为 家 用 管 道 煤 气 的 泄 漏 报 警 器 图 5-20 中, 场 效 应 管 V1(3DJ6D) 接 成 恒 流 源 形 式, 作 为 3DOH 氢 敏 传 感 器 内 部 钯 栅 MOS 场 效 应 管 的 漏 极 负 载, 使 流 过 3DOH 漏 极 D 的 电 流 恒 定 不 变, 约 为 几 百 微 安 V2(3DJ6D) 也 接 成 恒 流 源 形 式, 为 3DOH 内 部 测 温 二 极 管 提 供 几 百 微 安 的 恒 定 电 流 图 5-20 高 灵 敏 度 氢 气 报 警 器 电 路 2 可 燃 性 气 体 泄 漏 报 警 器 电 路 可 燃 性 气 体 泄 漏 报 警 器 的 电 路 如 图 5-21 所 示 图 中 所 用 气 敏 器 件 为 TGS813, 它 对 一 般 可 燃 性 气 体 ( 如 氢 气 一 氧 化 碳 丙 烷 乙 醇 等 ) 均 具 有 较 高 的 灵 敏 度, 能 用 来 检 测 煤 气 液 化 石 油 气 天 然 气 等 的 泄 漏, 也 可 用 来 检 测 如 冬 季 取 暖 时 煤 炭 燃 烧 不 完 全 而 产 生 的 过 量 一 氧 化 碳 等, 以 防 意 外 事 故 的 发 生 79

80 图 5-21 可 燃 性 气 体 泄 漏 报 警 器 电 路 3 便 携 式 缺 氧 监 控 器 电 路 图 5-22 为 便 携 式 缺 氧 监 控 器 电 路 图 中 用 来 检 测 氧 气 浓 度 的 传 感 器 为 原 电 池 式 氧 气 传 感 器 ( 也 称 为 伽 伐 尼 电 池 式 氧 传 感 器 ) 原 电 池 式 氧 气 传 感 器 的 负 极 采 用 金 铂 等 贵 金 属 制 成, 正 极 采 用 铅 等 普 通 易 氧 化 金 属 制 成, 隔 膜 采 用 氧 气 穿 透 性 良 好 的 聚 乙 烯 或 氟 烯 脂 制 成 厚 度 为 10~20 μm 的 薄 膜, 电 解 液 为 酸 性 电 解 液 其 工 作 原 理 是 : 氧 气 穿 过 隔 膜 时 起 化 学 反 应, 从 而 形 成 电 流, 电 流 的 大 小 与 氧 气 的 浓 度 成 比 例, 通 过 对 电 流 的 检 测 可 以 知 道 氧 气 的 浓 度 这 种 传 感 器 在 0~100% 氧 气 浓 度 范 围 内 有 线 性 输 出, 在 检 测 空 气 中 的 氧 气 浓 度 时 可 输 出 电 压 约 50 mv 调 节 电 位 器 RP4 可 设 定 氧 气 浓 度 小 于 18% 时 比 较 器 IC2 输 出 高 电 压, 通 过 VD2 和 R6 驱 动 晶 体 管 V1 导 通, 使 接 于 V1 集 电 极 的 蜂 鸣 器 鸣 响 报 警 由 于 采 用 液 晶 显 示, 故 用 4 节 450 ma/h 的 充 电 电 池 可 连 续 工 作 约 100 h 图 5-22 便 携 式 缺 氧 监 控 器 电 路 4 几 种 常 用 的 半 导 体 气 敏 器 件 UL-281 UL-282 UL-206 和 QM-N10 是 几 种 常 用 的 半 导 体 气 敏 器 件, 它 们 分 别 用 80

81 于 一 氧 化 碳 酒 精 烟 雾 和 可 燃 性 气 体 的 检 测 与 报 警 表 10-5 中 列 出 了 它 们 的 基 本 参 数 5.7 湿 度 检 测 技 术 1 阻 抗 式 湿 度 传 感 器 应 用 电 路 阻 抗 式 湿 度 传 感 器 的 应 用 电 路 如 图 5-23 所 示, 它 适 用 于 UD-08 CGS-2 等 湿 度 传 感 器, 电 路 较 为 简 单, 精 度 在 ±3%RH 左 右 当 使 用 其 他 类 型 湿 度 传 感 器 时, 应 适 当 调 整 图 中 参 数 2 电 容 式 湿 度 传 感 器 应 用 电 路 电 容 式 湿 度 传 感 器 应 用 电 路 如 图 5-24 所 示 这 种 电 路 适 用 于 MC-2 等 湿 度 传 感 器, 其 灵 敏 度 为 2 mv/%rh 电 路 由 两 个 时 基 电 路 组 成 第 一 个 时 基 电 路 IC 1 及 其 外 围 电 路 组 成 多 谐 振 荡 器, 由 R1 R2 C1 提 供 20 ms 的 脉 冲 触 发 第 二 个 时 基 电 路 第 二 个 时 基 电 路 IC2 及 其 外 围 电 路 是 一 个 可 变 脉 宽 发 生 器, 其 脉 冲 宽 度 取 决 于 湿 敏 器 件 MC-2 的 电 容 值 大 小 81

82 图 5-23 阻 抗 式 湿 度 传 感 器 应 用 电 路 图 5-24 电 容 式 湿 度 传 感 器 应 用 电 路 82

83 5.8 干 扰 的 抑 制 技 术 1 抑 制 干 扰 的 方 法 (1) 消 除 或 抑 制 干 扰 源 : 如 使 产 生 干 扰 的 电 气 设 备 远 离 检 测 装 置 ; 对 继 电 器 接 触 器 断 路 器 等 采 取 触 点 灭 弧 措 施 或 改 用 无 触 点 开 关 ; 消 除 电 路 中 的 虚 焊 假 接 等 (2) 破 坏 干 扰 途 径 : 提 高 绝 缘 性 能, 采 用 变 压 器 光 电 耦 合 器 隔 离 以 切 断 路 径 ; 利 用 退 耦 滤 波 选 频 等 电 路 手 段 引 导 干 扰 信 号 转 移 ; 改 变 接 地 形 式 消 除 共 阻 抗 耦 合 干 扰 途 径 ; 对 数 字 信 号 可 采 用 甄 别 限 幅 整 形 等 信 号 处 理 方 法 或 选 通 控 制 方 法 切 断 干 扰 途 径 (3) 削 弱 接 收 电 路 对 干 扰 的 敏 感 性 : 例 如 电 路 中 的 选 频 措 施 可 以 削 弱 对 全 频 带 噪 声 的 敏 感 性, 负 反 馈 可 以 有 效 削 弱 内 部 噪 声 源, 其 他 如 对 信 号 采 用 绞 线 传 输 或 差 动 输 入 电 路 等 常 用 的 抗 干 扰 技 术 有 屏 蔽 接 地 浮 置 滤 波 隔 离 技 术 等 2 屏 蔽 技 术 1) 静 电 屏 蔽 众 所 周 知, 在 静 电 场 作 用 下, 导 体 内 部 各 点 等 电 位, 即 导 体 内 部 无 电 力 线 因 此, 若 将 金 属 屏 蔽 盒 接 地, 则 屏 蔽 盒 内 的 电 力 线 不 会 传 到 外 部, 外 部 的 电 力 线 也 不 会 穿 透 屏 蔽 盒 进 入 内 部 前 者 可 抑 制 干 扰 源, 后 者 可 阻 截 干 扰 的 传 输 途 径 所 以 静 电 屏 蔽 也 叫 电 场 屏 蔽, 可 以 抑 制 电 场 耦 合 的 干 扰 为 了 达 到 较 好 的 静 电 屏 蔽 效 果, 应 注 意 以 下 几 个 问 题 : (1) 选 用 铜 铝 等 低 电 阻 金 属 材 料 作 屏 蔽 盒 (2) 屏 蔽 盒 要 良 好 地 接 地 (3) 尽 量 缩 短 被 屏 蔽 电 路 伸 出 屏 蔽 盒 之 外 的 导 线 长 度 2) 电 磁 屏 蔽 电 磁 屏 蔽 主 要 是 抑 制 高 频 电 磁 场 的 干 扰, 屏 蔽 体 采 用 良 导 体 材 料 ( 铜 铝 或 镀 银 铜 板 ), 利 用 高 频 电 磁 场 在 屏 蔽 导 体 内 产 生 涡 流 的 效 应, 一 方 面 消 耗 电 磁 场 能 量, 另 一 方 面 涡 电 流 产 生 反 磁 场 抵 消 高 频 干 扰 磁 场, 从 而 达 到 磁 屏 蔽 的 效 果 当 屏 蔽 体 上 必 须 开 孔 或 开 槽 时, 应 注 意 避 免 切 断 涡 电 流 的 流 通 途 径 若 把 屏 蔽 体 接 地, 则 可 兼 顾 静 电 屏 蔽 若 要 对 电 磁 线 圈 进 行 屏 蔽, 屏 蔽 罩 直 径 必 须 大 于 线 圈 直 径 一 倍 以 上, 否 则 将 使 线 圈 电 感 量 减 小,Q 值 降 低 3) 磁 屏 蔽 对 低 频 磁 场 的 屏 蔽, 要 用 高 导 磁 材 料, 使 干 扰 磁 感 线 在 屏 蔽 体 内 构 成 回 路, 屏 蔽 体 以 外 的 漏 磁 通 很 少, 从 而 抑 制 了 低 频 磁 场 的 干 扰 作 用 为 保 证 屏 蔽 效 果, 屏 蔽 板 应 有 一 定 的 厚 度, 以 免 磁 饱 和 或 部 分 磁 通 穿 过 屏 蔽 层 而 形 成 漏 磁 干 扰 3 接 地 技 术 1) 电 气 电 子 设 备 中 的 地 线 接 地 起 源 于 强 电 技 术 为 保 障 安 全, 将 电 网 零 线 和 设 备 外 壳 接 大 地, 称 为 保 安 地 83

84 线 对 于 以 电 能 作 为 信 号 的 通 信 测 量 计 算 控 制 等 电 子 技 术 来 说, 把 电 信 号 的 基 准 电 位 点 称 为 地, 它 可 能 与 大 地 是 隔 绝 的, 称 为 信 号 地 线 信 号 地 线 分 为 模 拟 信 号 地 线 和 数 字 信 号 地 线 两 种 另 外 从 信 号 特 点 来 看, 还 有 信 号 源 地 线 和 负 载 地 线 4 其 他 抑 制 干 扰 的 措 施 在 仪 表 中 还 经 常 采 用 调 制 解 调 技 术, 滤 波 和 隔 离 ( 一 般 用 变 压 器 作 前 隔 离, 光 电 耦 合 器 作 后 隔 离 ) 技 术 通 过 调 制 选 频 放 大 解 调 滤 波, 只 放 大 输 出 有 用 信 号, 抑 制 无 用 的 干 扰 信 号 滤 波 的 类 型 有 低 通 滤 波 高 通 滤 波 带 通 滤 波 带 阻 滤 波 等, 起 选 频 作 用 隔 离 主 要 防 止 后 级 对 前 级 的 干 扰 84

85 第 6 章 遥 控 技 术 遥 控 技 术 主 要 分 为 红 外 遥 控 无 线 遥 控 超 声 波 遥 控 和 微 波 遥 控 等 几 类 其 中, 以 红 外 遥 控 和 无 线 遥 控 方 式 使 用 居 多 6.1 红 外 遥 控 红 外 遥 控 技 术 是 一 种 利 用 红 外 线 进 行 点 对 点 通 信 的 技 术, 其 相 应 的 软 件 和 硬 件 技 术 都 已 比 较 成 熟 它 在 技 术 上 的 主 要 优 点 是 :1 无 需 专 门 申 请 特 定 频 率 的 使 用 执 照 ;2 具 有 移 动 通 信 设 备 所 必 需 的 体 积 小 功 率 低 的 特 点 ;3 传 输 速 率 适 合 于 家 庭 和 办 公 室 使 用 的 网 络 ;4 信 号 无 干 扰, 传 输 准 确 度 高 ;5 成 本 低 廉 1 单 通 道 红 外 遥 控 电 路 在 不 需 要 多 路 控 制 的 应 用 场 合, 可 以 使 用 由 常 规 集 成 电 路 组 成 的 单 通 道 红 外 遥 控 电 路 这 种 遥 控 电 路 不 需 要 使 用 较 贵 的 专 用 编 译 码 器, 因 此 成 本 较 低 单 通 道 红 外 遥 控 发 射 电 路 如 图 6-1 所 示 在 发 射 电 路 中 使 用 了 一 片 高 速 CMOS 型 四 重 二 输 入 与 非 门 74HC00 其 中 与 非 门 3 4 组 成 载 波 振 荡 器, 振 荡 频 率 f0 调 在 38kHz 左 右 ; 与 非 门 1 2 组 成 低 频 振 荡 器, 振 荡 频 率 f1 不 必 精 确 调 整 f1 对 f0 进 行 调 制, 所 以 从 与 非 门 4 输 出 的 波 形 是 断 续 的 载 波, 这 也 是 经 红 外 发 光 二 极 管 传 送 的 波 形 当 A 点 波 形 为 高 电 平 时, 红 外 发 光 二 极 管 发 射 载 波 ; 当 A 点 波 形 为 低 电 平 时, 红 外 发 光 二 极 管 不 发 射 载 波 这 一 停 一 发 的 频 率 就 是 低 频 振 荡 器 频 率 f1 在 红 外 发 射 电 路 中 为 什 么 不 采 用 价 格 低 廉 的 低 速 CMOS 四 重 二 输 入 与 非 门 CD4011, 而 采 用 价 格 较 高 的 74HC00 呢? 主 要 是 由 于 电 源 电 压 的 限 制 红 外 发 射 器 的 外 壳 有 多 种 多 样, 但 电 源 一 般 都 设 计 成 3V, 使 用 两 节 5 号 或 7 号 电 池 作 电 源 虽 然 CD4011 的 标 称 工 作 电 压 为 3~18V, 但 却 是 对 处 理 数 字 信 号 而 言 的 因 为 这 里 CMOS 与 非 门 是 用 作 振 荡 产 生 方 波 信 号 的, 即 模 拟 应 用, 所 以 它 的 工 作 电 压 至 少 要 4.5V 才 行, 否 则 不 易 起 振, 影 响 使 用 而 74HC 系 列 的 CMOS 数 字 集 成 电 路 最 低 工 作 电 压 为 2V, 所 以 使 用 3V 电 源 便 得 心 应 手 了 图 6-1 单 通 道 红 外 遥 控 发 射 电 路 2 15 通 道 红 外 遥 控 电 路 下 面 介 绍 一 种 利 用 模 拟 电 子 开 关 进 行 编 码 和 译 码 的 红 外 遥 控 电 路,15 个 编 码 控 制 键 与 85

86 译 码 输 出 一 一 对 应, 操 作 简 便 响 应 速 度 快, 可 广 泛 用 于 电 风 扇 电 动 窗 帘 装 饰 彩 灯 视 听 设 备 及 家 用 电 器 等 的 遥 控 操 作 编 码 发 射 电 路 由 时 钟 振 荡 器 模 拟 电 子 开 关 编 码 控 制 键 双 稳 态 触 发 器 红 外 载 频 振 荡 器 与 非 门 调 制 器 输 出 驱 动 器 及 红 外 发 射 管 等 组 成, 电 路 如 图 6-2 所 示 CD4060 是 14 位 二 进 制 串 行 异 步 计 数 器, 内 含 振 荡 器 及 14 级 计 数 单 元, 但 只 有 10 个 计 数 输 出 信 号 被 引 出 时 钟 振 荡 频 率 f=1/2.2rp1 C1, 要 求 C1 100pF,RP1 1kΩ,R1 10RP1, 否 则 不 易 起 振 本 文 根 据 电 路 需 求, 通 过 调 整 RP1, 使 f=16384hz 由 二 进 制 计 数 分 频 公 式 fqn=f/2n 不 难 算 得 :Q5 端 输 出 频 率 fq5=512hz 作 其 准 同 步 脉 冲 信 号 使 用 ;Q10 端 输 出 频 率 fq10=16hz 作 计 数 闸 门, 决 定 Q9-Q6 按 0000~1111 循 环 变 化 一 周 最 多 允 许 通 过 的 脉 冲 个 数 图 通 道 红 外 遥 控 电 路 CD4067 是 16 选 1 模 拟 电 子 开 关, 因 公 共 端 X=0, 故 当 A3~A0 受 CD4060 的 Q9~ Q6 控 制 按 0000~1111 循 环 变 化 时,X0~X15 将 依 次 输 出 低 电 平 CD4011 是 二 输 入 端 器 与 非 门, 共 有 两 个 与 非 门 组 成 双 稳 态 输 出 脉 冲 负 跳 变 沿 触 发 R-S 触 发 器, 另 两 个 与 非 门 并 联 后 作 调 制 器 调 制 器 的 一 个 输 入 端 送 入 38kHz 红 外 载 频 信 号, 另 一 端 被 R3 VD1~ VD3 构 成 的 二 极 管 与 门 扩 展 为 三 个 输 入 端, 这 三 个 信 号 的 作 用 是 :R-S 触 发 器 输 出 信 号 由 86

87 编 码 开 关 S1~S15 控 制, 决 定 编 码 脉 冲 个 数 ;CD4060 的 Q10 输 出 16Hz 信 号 决 定 脉 冲 重 复 频 率 ;Q10 输 出 信 号 作 同 步 脉 冲 每 当 CD4060 计 数 到 Q10~Q6=10000 时,CD4067 的 X0=0R-S 触 发 器 被 置 位 在 未 编 码 时, 送 入 调 制 器 的 脉 冲 个 数 最 多, 为 16 个 ; 按 S1~S15 进 行 编 码 控 制 时, 送 入 调 制 器 的 脉 冲 个 数 与 闭 合 的 键 号 相 同, 比 如 按 S8 时, 有 8 个 脉 冲 送 入 等 更 详 细 的 脉 冲 时 序 关 系 可 自 行 分 析 单 时 基 电 路 NE555 构 成 无 稳 态 多 谐 振 荡 器, 输 出 的 载 波 振 荡 频 率 可 按 f=1.44/r4+rp2c2 估 算, 调 RP2 使 频 率 为 38kHz 左 右 此 信 号 经 编 码 脉 冲 调 制 和 VT 放 大 后, 驱 动 两 只 红 外 发 光 管 问 外 发 射 红 外 遥 控 信 息 接 收 电 路 如 图 所 示, 一 体 化 红 外 接 收 头 TK19( 或 BA5302 等 ) 从 红 外 载 波 信 号 中 解 调 ( 去 载 波 ) 出 编 码 信 号, 送 至 CD4520 进 行 计 数, 选 通 模 拟 电 子 开 关 CD4067 的 X1~ X15 端, 输 出 与 编 码 一 一 对 应 的 控 制 信 号 CD4520 是 双 位 二 进 制 计 数 器, 这 里 只 用 其 中 一 个 计 数 器, 并 采 用 脉 冲 下 降 沿 触 发 方 式 C4~C10 和 R5~R19 组 成 充 放 电 延 时 电 路, 由 于 电 容 两 端 的 电 压 不 能 突 变, 可 防 止 按 Kn 编 码 键 时, 在 Xn 输 出 以 前 小 于 Xn 的 端 子 输 出 控 制 信 号, 避 免 干 扰 执 行 电 器 或 电 路 正 常 工 作 这 里 因 X=0, 所 以 X1~X15 输 出 的 是 低 电 平 控 制 信 号, 若 想 使 用 高 电 平 控 制 信 号, 可 将 1 脚 接 正 电 源, 使 X1=1 即 可, 但 此 时 C4~C10 和 R5~R19 的 位 置 应 互 换 3 编 码 解 码 芯 片 PT2262/PT2272 PT2262/2272 是 台 湾 普 城 公 司 生 产 的 一 种 CMOS 工 艺 制 造 的 低 功 耗 低 价 位 通 用 编 解 码 电 路,PT2262/2272 最 多 可 有 12 位 (A0-A11) 三 态 地 址 端 管 脚 ( 悬 空, 接 高 电 平, 接 低 电 平 ), 任 意 组 合 可 提 供 地 址 码,PT2262 最 多 可 有 6 位 (D0-D5) 数 据 端 管 脚, 设 定 的 地 址 码 和 数 据 码 从 17 脚 串 行 输 出, 可 用 于 无 线 遥 控 发 射 电 路 图 6-3 PT2262/2272 引 脚 及 原 理 编 码 芯 片 PT2262 发 出 的 编 码 信 号 由 : 地 址 码 数 据 码 同 步 码 组 成 一 个 完 整 的 码 字, 解 码 芯 片 PT2272 接 收 到 信 号 后, 其 地 址 码 经 过 两 次 比 较 核 对 后,VT 脚 才 输 出 高 电 平, 与 此 同 时 相 应 的 数 据 脚 也 输 出 高 电 平, 如 果 发 送 端 一 直 按 住 按 键, 编 码 芯 片 也 会 连 续 发 射 当 发 射 机 没 有 按 键 按 下 时,PT2262 不 接 通 电 源, 其 17 脚 为 低 电 平, 所 以 315MHz 的 高 频 发 射 电 路 不 工 作, 当 有 按 键 按 下 时,PT2262 得 电 工 作, 其 第 17 脚 输 出 经 调 制 的 串 行 数 据 信 号, 当 17 脚 为 高 电 平 期 间 315MHz 的 高 频 发 射 电 路 起 振 并 发 射 等 幅 高 频 信 号, 当 17 脚 87

88 为 低 平 期 间 315MHz 的 高 频 发 射 电 路 停 止 振 荡, 所 以 高 频 发 射 电 路 完 全 收 控 于 PT2262 的 17 脚 输 出 的 数 字 信 号, 从 而 对 高 频 电 路 完 成 幅 度 键 控 (ASK 调 制 ) 相 当 于 调 制 度 为 100 % 的 调 幅 PT2262/2272 特 点 :CMOS 工 艺 制 造, 低 功 耗, 外 部 元 器 件 少,RC 振 荡 电 阻, 工 作 电 压 范 围 宽 :2.6~15v, 数 据 最 多 可 达 6 位, 地 址 码 最 多 可 达 种 应 用 范 围 : 车 辆 防 盗 系 统 家 庭 防 盗 系 统 遥 控 玩 具 其 他 电 器 遥 控 PT2262 引 脚 功 能 如 下 : 名 称 管 脚 说 明 A0-A 地 址 管 脚, 用 于 进 行 地 址 编 码, 可 置 为 0, 1, f ( 悬 空 ), D0-D 数 据 输 入 端, 有 一 个 为 1 即 有 编 码 发 出, 内 部 下 拉 Vcc 18 电 源 正 端 (+) Vss 9 电 源 负 端 (-) TE 14 编 码 启 动 端, 用 于 多 数 据 的 编 码 发 射, 低 电 平 有 效 ; OSC1 16 振 荡 电 阻 输 入 端, 与 OSC2 所 接 电 阻 决 定 振 荡 频 率 ; OSC2 15 振 荡 电 阻 振 荡 器 输 出 端 ; Dout 17 编 码 输 出 端 ( 正 常 时 为 低 电 平 ) 在 具 体 的 应 用 中, 外 接 振 荡 电 阻 可 根 据 需 要 进 行 适 当 的 调 节, 阻 值 越 大 振 荡 频 率 越 慢, 编 码 的 宽 度 越 大, 发 码 一 帧 的 时 间 越 长 网 站 上 大 部 分 产 品 都 是 用 2262/1.2M=2272/200K 组 合 的, 少 量 产 品 用 2262/4.7M=2272/820K 地 址 码 和 数 据 码 都 用 宽 度 不 同 的 脉 冲 来 表 示, 两 个 窄 脉 冲 表 示 0 ; 两 个 宽 脉 冲 表 示 1 ; 一 个 窄 脉 冲 和 一 个 宽 脉 冲 表 示 F 也 就 是 地 址 码 的 悬 空 图 6-4 超 再 生 接 收 模 块 信 号 输 出 波 形 上 面 是 我 们 从 超 再 生 接 收 模 块 信 号 输 出 脚 上 截 获 的 一 段 波 形, 可 以 明 显 看 到, 图 上 半 部 分 是 一 组 一 组 的 字 码, 每 组 字 码 之 间 有 同 步 码 隔 开, 所 以 我 们 如 果 用 单 片 机 软 件 解 码 时, 程 序 只 要 判 断 出 同 步 码, 然 后 对 后 面 的 字 码 进 行 脉 冲 宽 度 识 别 即 可 图 下 部 分 是 放 大 的 一 组 字 码 : 一 个 字 码 由 12 位 AD 码 ( 地 址 码 加 数 据 码, 比 如 8 位 地 址 码 加 4 位 数 据 码 ) 组 成, 每 个 AD 位 用 两 个 脉 冲 来 代 表 : 两 个 窄 脉 冲 表 示 0 ; 两 个 宽 脉 冲 表 示 1 ; 一 个 窄 脉 冲 和 一 个 宽 脉 冲 表 示 F 也 就 是 地 址 码 的 悬 空 2262 每 次 发 射 时 至 少 发 射 4 组 字 码,2272 只 有 在 连 续 两 次 检 测 到 相 同 的 地 址 码 加 数 据 码 才 会 把 数 据 码 中 的 1 驱 动 相 应 的 数 据 输 出 端 为 高 电 平 和 驱 动 VT 端 同 步 为 高 电 平 因 88

89 为 无 线 发 射 的 特 点, 第 一 组 字 码 非 常 容 易 受 零 电 平 干 扰, 往 往 会 产 生 误 码, 所 以 程 序 可 以 丢 弃 处 理 图 6-5 编 码 波 形 PT2272 解 码 芯 片 有 不 同 的 后 缀, 表 示 不 同 的 功 能, 有 L4/M4/L6/M6 之 分, 其 中 L 表 示 锁 存 输 出, 数 据 只 要 成 功 接 收 就 能 一 直 保 持 对 应 的 电 平 状 态, 直 到 下 次 遥 控 数 据 发 生 变 化 时 改 变 M 表 示 非 锁 存 输 出, 数 据 脚 输 出 的 电 平 是 瞬 时 的 而 且 和 发 射 端 是 否 发 射 相 对 应, 可 以 用 于 类 似 点 动 的 控 制 后 缀 的 6 和 4 表 示 有 几 路 并 行 的 控 制 通 道, 当 采 用 4 路 并 行 数 据 时 (PT2272-M4), 对 应 的 地 址 编 码 应 该 是 8 位, 如 果 采 用 6 路 的 并 行 数 据 时 (PT2272-M6), 对 应 的 地 址 编 码 应 该 是 6 位 PT2262/2272 芯 片 的 地 址 编 码 设 定 和 修 改 : 在 通 常 使 用 中, 我 们 一 般 采 用 8 位 地 址 码 和 4 位 数 据 码, 这 时 编 码 电 路 PT2262 和 解 码 PT2272 的 第 1~8 脚 为 地 址 设 定 脚, 有 三 种 状 态 可 供 选 择 : 悬 空 接 正 电 源 接 地 三 种 状 态,3 的 8 次 方 为 6561, 所 以 地 址 编 码 不 重 复 度 为 6561 组, 只 有 发 射 端 PT2262 和 接 收 端 PT2272 的 地 址 编 码 完 全 相 同, 才 能 配 对 使 用, 遥 控 模 块 的 生 产 厂 家 为 了 便 于 生 产 管 理, 出 厂 时 遥 控 模 块 的 PT2262 和 PT2272 的 八 位 地 址 编 码 端 全 部 悬 空, 这 样 用 户 可 以 很 方 便 选 择 各 种 编 码 状 态, 用 户 如 果 想 改 变 地 址 编 码, 只 要 将 PT2262 和 PT2272 的 1~8 脚 设 置 相 同 即 可, 例 如 将 发 射 机 的 PT2262 的 第 1 脚 接 地 第 5 脚 接 正 电 源, 其 它 引 脚 悬 空, 那 么 接 收 机 的 PT2272 只 要 也 第 1 脚 接 地 第 5 脚 接 正 电 源, 其 它 引 脚 悬 空 就 能 实 现 配 对 接 收 当 两 者 地 址 编 码 完 全 一 致 时, 接 收 机 对 应 的 D1~D4 端 输 出 约 4V 互 锁 高 电 平 控 制 信 号, 同 时 VT 端 也 输 出 解 码 有 效 高 电 平 信 号 用 户 可 将 这 些 信 号 加 一 级 放 大, 便 可 驱 动 继 电 器 功 率 三 极 管 等 进 行 负 载 遥 控 开 关 操 纵 设 置 地 址 码 的 原 则 是 : 同 一 个 系 统 地 址 码 必 须 一 致 ; 不 同 的 系 统 可 以 依 靠 不 同 的 地 址 码 加 以 区 分 至 于 设 置 什 么 样 的 地 址 码 完 全 随 客 户 喜 欢 PT2262 和 PT2272 除 地 址 编 码 必 须 完 全 一 致 外, 振 荡 电 阻 还 必 须 匹 配, 否 则 接 收 距 离 会 变 近 甚 至 无 法 接 收, 随 着 技 术 的 发 展 市 场 上 出 现 一 批 兼 容 芯 片, 在 实 际 使 用 中 只 要 对 振 荡 电 阻 稍 做 改 动 就 能 配 套 使 用, 根 据 我 们 的 实 际 使 用 经 验, 下 面 的 参 数 匹 配 效 果 较 好 : 89

90 编 码 发 射 芯 片 编 码 接 收 芯 片 PT2262 PT2260 SC2260 SC2262 CS5211 PT2272/SC2272/CS M 无 3.3M 1.1M 1.3M 200K 1.5M 无 4.3M 1.4M 1.6M 270K 2.2M 无 6.2M 2M 2.4M 390K 3.3M 无 9.1M 3M 3.6M 680K 4.7M 1.2M 12M 4.3M 5.1M 820K 2262 IR 是 2262 系 列 用 于 红 外 遥 控 的 专 用 芯 片, 可 以 按 照 下 面 的 图 纸 进 行 接 线, 可 以 通 过 调 整 发 射 端 Rosc 电 阻 的 大 小 使 接 收 距 离 最 远, 发 射 端 电 阻 的 调 整 范 围 390~420K 图 6-6 红 外 发 射 接 收 典 型 电 路 图 位 发 射 应 用 电 路 90

91 6.2 无 线 遥 控 无 线 遥 控 就 是 利 用 电 磁 波 在 远 距 离 上, 按 照 人 们 的 意 志 实 现 对 物 体 对 象 的 无 线 操 纵 和 控 制, 这 种 无 线 控 制 的 方 式 就 叫 做 无 线 遥 控 无 线 遥 控 一 般 都 包 括 遥 控 发 射 机 和 遥 控 接 收 机 发 射 机 主 要 包 括 编 码 电 路 和 发 射 电 路 编 码 电 路 由 操 纵 器 ( 操 纵 开 关 或 电 位 器 等 ) 控 制, 操 纵 者 通 过 操 纵 器 ; 使 编 码 电 路 产 生 所 需 要 的 控 制 指 令 这 些 控 制 指 令 是 具 有 某 些 特 征 的 相 互 间 易 于 区 分 的 电 信 号, 例 如 : 用 频 率 为 270hz 的 正 弦 信 号 作 为 控 制 左 舵 的 指 令, 用 频 率 为 350hz 的 正 弦 信 号 作 为 控 制 右 舵 的 指 令, 即 不 同 频 率 的 正 弦 信 号 代 表 不 同 的 控 制 指 令 除 了 可 利 用 频 率 特 征 外, 还 可 用 正 弦 信 号 的 幅 度 及 相 位 特 征 脉 冲 信 号 的 幅 度 宽 度 及 相 位 特 征 以 及 码 组 特 征 等 表 示 各 种 指 令 编 码 电 路 产 生 的 指 令 信 号 都 是 频 率 较 低 的 电 信 号, 无 法 直 接 传 送 到 遥 控 目 标 上 去, 还 要 将 指 令 信 号 送 到 发 射 电 路, 使 它 载 在 高 频 信 号 ( 载 波 ) 上, 才 能 由 发 射 天 线 发 送 出 去 就 如 同 用 火 车 飞 机 等 运 载 工 具 运 送 货 物 一 样, 指 令 信 号 相 当 于 货 物, 载 波 相 当 于 运 载 工 具 我 们 把 指 令 信 号 载 到 载 波 上 去 的 过 程 叫 调 制, 调 制 作 用 由 发 射 电 路 的 调 制 器 完 成 发 射 电 路 的 主 要 作 用 是 产 生 载 波, 并 由 调 制 器 将 指 令 信 号 调 制 在 载 波 上, 经 天 线 将 已 调 载 波 发 送 出 去 接 收 机 由 接 收 电 路 及 译 码 电 路 组 成 接 收 电 路 又 包 括 高 频 部 分 及 解 调 器 部 分 由 接 收 天 线 送 来 的 微 弱 信 号 经 接 收 机 高 频 部 分 的 选 择 和 放 大 后, 送 到 解 调 器 就 象 火 车 飞 机 等 运 载 工 具 到 站 后, 把 货 物 卸 下 来 的 情 况 一 样, 解 调 器 的 作 用 是 从 载 波 上 卸 下 指 令 信 号 由 于 卸 下 来 的 各 种 指 令 信 号 是 混 杂 在 一 起 的 还 要 送 到 译 码 电 路 译 码 译 码 电 路 的 工 作 就 象 把 卸 下 来 的 货 物 鉴 别 分 类, 再 分 别 送 到 使 用 场 地 一 样, 它 对 各 种 指 令 信 号 进 行 签 别, 送 到 相 应 的 执 行 放 大 电 路 执 行 放 大 电 路 把 指 令 信 号 放 大 到 具 有 一 定 的 功 率, 用 以 驱 动 执 行 机 构 执 行 机 构 将 电 能 转 变 为 机 械 动 作, 例 如 电 机 的 转 动 电 磁 铁 的 吸 动 等, 带 动 被 控 的 调 节 机 构 ( 例 如 舵 面 ), 从 而 实 现 对 被 控 目 标 的 控 制 多 通 道 无 线 遥 控 电 路 本 例 介 绍 的 多 通 道 无 线 电 遥 控 电 路 是 采 用 P36-F36-J 组 成 的 多 通 道 无 线 电 遥 控 电 路 在 发 射 电 路 中 采 用 DTMF 编 译 码 电 路 将 发 射 按 键 输 入 信 号 转 变 为 4 位 二 进 制 码 输 人 F36-F 并 向 外 发 射 在 接 收 电 路 中,F36-J 将 接 收 到 的 遥 控 信 号 通 过 解 调 译 码 还 原 为 4 位 二 进 制 码, 将 4 位 二 进 制 码 通 过 一 个 4 一 16 线 译 码 器 转 变 为 一 个 确 定 的 通 道 控 制 信 号 输 出, 去 控 制 一 个 相 应 的 电 路 多 通 道 无 线 电 遥 控 电 路 组 成 如 图 6-8 和 6-9 所 示, 包 括 遥 控 发 射 电 路 和 接 收 译 码 91

92 图 6-8 遥 控 发 射 电 路 图 6-9 接 收 译 码 电 路 遥 控 发 射 电 路 包 括 发 射 通 道 输 人 键 盘 CIC9187 DTMF 编 码 电 路 YN9101 DTMF 译 码 电 路 和 F36-F 发 射 电 路 本 电 路 中 CIC9187 DTMF 编 码 器 的 按 键 输 人 端 R1 一 R4 与 Cl 一 C4 和 键 盘 组 成 一 个 按 键 输 人 编 码 电 路 当 按 下 键 盘 中 的 某 一 按 键 时,CIC9187 编 码 器 的 输 出 端 16 脚 就 会 串 行 输 出 一 组 与 按 键 对 应 的 编 码 脉 冲 由 于 发 射 电 路 F36-F 的 输 人 端 需 要 的 是 4 位 二 进 制 码, 而 不 是 串 行 脉 冲, 因 此 通 过 YN9101 DTMF 译 码 器 将 串 行 脉 冲 转 变 为 4 位 二 进 制 码 输 出 当 F36-F 接 收 到 由 YN9101 输 出 的 4 位 二 进 制 码 时, 通 过 对 内 部 载 频 进 行 调 制 后 向 外 发 射 CIC9187 和 YN9101 的 工 作 电 源 由 发 射 电 路 矜 6-F 的 E 端 输 出 的 5V 电 源 提 供 由 于 4 位 二 进 制 码 只 能 组 成,l6 个 十 进 制 数, 因 此, 该 遥 控 发 射 器 最 多 能 组 成 16 个 发 射 通 道 无 线 电 接 收 译 码 电 路 如 图 所 示, 由 无 线 电 接 收 组 件 F36-J 和 4 一 16 线 译 码 器 电 路 92

93 CD4514 组 成 当 接 收 电 路 F36-J 接 收 到 由 发 射 电 路 发 出 的 遥 控 信 号 时, 通 过 内 部 电 路 解 调 译 码 后, 由 输 出 端 A 一 D 输 出 4 位 二 进 制 码 CD4514 为 4 一 16 线 译 码 器, 它 能 将 输 入 的 4 位 二 进 制 码 转 换 为 对 应 的 16 个 高 电 平 输 出 CD4514 为 24 脚 扁 平 塑 料 封 装 结 构, 它 有 4 个 输 人 端 A B C, D, 输 人 范 围 为 0000 一 1111; 有 16 个 输 出 端 YO 一 Y15, 输 出 高 电 平 有 效 ; 一 个 禁 止 端 INH, 高 电 平 时 禁 止 输 入 低 电 平 时 允 许 输 人 ; 一 个 选 通 控 制 端 ST, 高 电 平 时, 输 出 端 输 出 状 态 决 定 于 输 人 端, 低 电 平 时, 输 出 端 保 持 原 输 出 状 态 在 本 电 路 中,ST 端 接 电 源,F36-J 的 10 端 输 出 的 高 电 平 经 反 相 器 D1 反 相 后 变 为 低 电 平 加 至 INH 端 在 译 码 输 出 端 A 一 D 输 出 译 码 的 同 时, 10 端 输 出 高 电 平, 经 D1 变 为 低 电 平 加 至 INH 端, 使 CD4514 允 许 输 人 当 CD4514 的 输 人 端 A 一 D 输 人 由 F36-F 输 出 的 二 进 制 码 后, 它 的 输 出 端 YO-Y15 输 出 对 应 的 高 电 平 CD4514 的 输 出 端 根 据 需 要 可 连 接 双 向 晶 闸 管 光 祸 合 器, 固 态 继 电 器 或 经 晶 体 管 驱 动 电 路 接 直 流 继 电 器 IC1 选 用 CIC9187 DTMF 拨 号 编 码 集 成 电 路 ;IC2 选 用 YN9001 DTMF 译 码 集 成 电 路 ; IC3 选 用 F36-F 无 线 遥 控 发 射 集 成 电 路 ;IC4 选 用 F36-J 无 线 遥 控 接 收 集 成 电 路 ;IC5 选 用 CD4514 或 MC 一 16 线 译 码 器 集 成 电 路 ;IC6 选 用 CD4069 六 反 相 器 数 字 集 成 电 路 CD4514 也 可 用 CD4515 代 用, 它 们 的 工 作 原 理 引 脚 功 能 完 全 相 同, 只 是 CD4515 的 输 出 端 为 输 出 低 电 平 有 效 在 发 射 电 路 中,CIC9187 DTMF 编 码 电 路 可 用 MK5087 MK5089 以 及 9188 等 代 用 YN9101 DTMF 译 码 电 路 可 用 YN9102 MT8870 等 代 用 其 他 元 器 件 均 无 特 殊 要 求, 可 按 图 所 标 型 号 及 参 数 进 行 选 用 93

94 第 二 部 分 Protel DXP Protel DXP 是 Alium 公 司 最 新 推 出 的 一 款 绘 制 原 理 图 与 PCB 图 的 EDA 工 具, 它 功 能 强 大 使 用 方 便 操 作 灵 活, 是 国 内 最 流 行 的 EDA 工 具 之 一 第 1 章 芯 片 封 装 形 式 的 特 点 和 优 点 为 了 适 应 不 同 场 合, 集 成 电 路 芯 片 采 用 了 多 种 封 装 形 式, 表 1-1 列 出 了 常 用 的 芯 片 封 装 形 式 表 1-1 封 装 形 式 与 形 状 对 照 表 一 DIP 双 列 直 插 式 封 装 DIP(Dual-line Package) 是 指 采 用 双 列 直 插 形 式 封 装 的 集 成 电 路 芯 片, 绝 大 多 数 中 小 规 模 集 成 电 路 (IC) 均 采 用 这 种 封 装 形 式, 其 引 脚 数 一 般 不 超 过 100 个 采 用 DIP 封 装 的 CPU 芯 片 有 两 排 引 脚, 需 要 插 入 到 具 有 DIP 结 构 的 芯 片 插 座 上 当 然, 也 可 以 直 接 插 在 有 相 同 焊 孔 数 和 几 何 排 列 的 电 路 板 上 进 行 焊 接 DIP 封 装 的 芯 片 在 从 芯 片 插 座 上 插 拔 时 应 特 别 小 94

95 心, 以 免 损 坏 引 脚 DIP 封 装 具 有 以 下 特 点 : 1. 适 合 在 PCB( 印 刷 电 路 板 ) 上 穿 孔 焊 接, 操 作 方 便 2. 芯 片 面 积 与 封 装 面 积 之 间 的 比 值 较 大, 故 体 积 也 较 大 Intel 系 列 CPU 中 8088 就 采 用 这 种 封 装 形 式, 缓 存 (Cache) 和 早 期 的 内 存 芯 片 也 是 这 种 封 装 形 式 二 QFP 塑 料 方 型 扁 平 式 封 装 和 PFP 塑 料 扁 平 组 件 式 封 装 QFP(Plastic Quad Flat Package) 封 装 的 芯 片 引 脚 之 间 距 离 很 小, 管 脚 很 细, 一 般 大 规 模 或 超 大 型 集 成 电 路 都 采 用 这 种 封 装 形 式, 其 引 脚 数 一 般 在 100 个 以 上 用 这 种 形 式 封 装 的 芯 片 必 须 采 用 SMD( 表 面 安 装 设 备 技 术 ) 将 芯 片 与 主 板 焊 接 起 来 采 用 SMD 安 装 的 芯 片 不 必 在 主 板 上 打 孔, 一 般 在 主 板 表 面 上 有 设 计 好 的 相 应 管 脚 的 焊 点 将 芯 片 各 脚 对 准 相 应 的 焊 点, 即 可 实 现 与 主 板 的 焊 接 用 这 种 方 法 焊 上 去 的 芯 片, 如 果 不 用 专 用 工 具 是 很 难 拆 卸 下 来 的 PFP(Plastic Flat Package) 方 式 封 装 的 芯 片 与 QFP 方 式 基 本 相 同 唯 一 的 区 别 是 QFP 一 般 为 正 方 形, 而 PFP 既 可 以 是 正 方 形, 也 可 以 是 长 方 形 QFP/PFP 封 装 具 有 以 下 特 点 : 1. 适 用 于 SMD 表 面 安 装 技 术 在 PCB 电 路 板 上 安 装 布 线 2. 适 合 高 频 使 用 3. 操 作 方 便, 可 靠 性 高 4. 芯 片 面 积 与 封 装 面 积 之 间 的 比 值 较 小 Intel 系 列 CPU 中 和 某 些 486 主 板 采 用 这 种 封 装 形 式 三 PGA 插 针 网 格 阵 列 封 装 PGA(Pin Grid Array Package) 芯 片 封 装 形 式 在 芯 片 的 内 外 有 多 个 方 阵 形 的 插 针, 每 个 方 阵 形 插 针 沿 芯 片 的 四 周 间 隔 一 定 距 离 排 列 根 据 引 脚 数 目 的 多 少, 可 以 围 成 2-5 圈 安 装 时, 将 芯 片 插 入 专 门 的 PGA 插 座 为 使 CPU 能 够 更 方 便 地 安 装 和 拆 卸, 从 486 芯 片 开 始, 出 现 一 种 名 为 ZIF 的 CPU 插 座, 专 门 用 来 满 足 PGA 封 装 的 CPU 在 安 装 和 拆 卸 上 的 要 求 ZIF(Zero Insertion Force Socket) 是 指 零 插 拔 力 的 插 座 把 这 种 插 座 上 的 扳 手 轻 轻 抬 起, CPU 就 可 很 容 易 轻 松 地 插 入 插 座 中 然 后 将 扳 手 压 回 原 处, 利 用 插 座 本 身 的 特 殊 结 构 生 成 的 挤 压 力, 将 CPU 的 引 脚 与 插 座 牢 牢 地 接 触, 绝 对 不 存 在 接 触 不 良 的 问 题 而 拆 卸 CPU 芯 片 只 需 将 插 座 的 扳 手 轻 轻 抬 起, 则 压 力 解 除,CPU 芯 片 即 可 轻 松 取 出 PGA 封 装 具 有 以 下 特 点 : 1. 插 拔 操 作 更 方 便, 可 靠 性 高 2. 可 适 应 更 高 的 频 率 Intel 系 列 CPU 中,80486 和 Pentium Pentium Pro 均 采 用 这 种 封 装 形 式 四 BGA 球 栅 阵 列 封 装 随 着 集 成 电 路 技 术 的 发 展, 对 集 成 电 路 的 封 装 要 求 更 加 严 格 这 是 因 为 封 装 技 术 关 系 到 产 品 的 功 能 性, 当 IC 的 频 率 超 过 100MHz 时, 传 统 封 装 方 式 可 能 会 产 生 所 谓 的 CrossTalk 现 象, 而 且 当 IC 的 管 脚 数 大 于 208 Pin 时, 传 统 的 封 装 方 式 有 其 困 难 度 因 此, 除 使 用 QFP 封 装 方 式 外, 现 今 大 多 数 的 高 脚 数 芯 片 ( 如 图 形 芯 片 与 芯 片 组 等 ) 皆 转 而 95

96 使 用 BGA(Ball Grid Array Package) 封 装 技 术 BGA 一 出 现 便 成 为 CPU 主 板 上 南 / 北 桥 芯 片 等 高 密 度 高 性 能 多 引 脚 封 装 的 最 佳 选 择 BGA 封 装 技 术 又 可 详 分 为 五 大 类 : 1.PBGA(Plasric BGA) 基 板 : 一 般 为 2-4 层 有 机 材 料 构 成 的 多 层 板 Intel 系 列 CPU 中, Pentium II III IV 处 理 器 均 采 用 这 种 封 装 形 式 2.CBGA(CeramicBGA) 基 板 : 即 陶 瓷 基 板, 芯 片 与 基 板 间 的 电 气 连 接 通 常 采 用 倒 装 芯 片 (FlipChip, 简 称 FC) 的 安 装 方 式 Intel 系 列 CPU 中,Pentium I II Pentium Pro 处 理 器 均 采 用 过 这 种 封 装 形 式 3.FCBGA(FilpChipBGA) 基 板 : 硬 质 多 层 基 板 4.TBGA(TapeBGA) 基 板 : 基 板 为 带 状 软 质 的 1-2 层 PCB 电 路 板 5.CDPBGA(Carity Down PBGA) 基 板 : 指 封 装 中 央 有 方 型 低 陷 的 芯 片 区 ( 又 称 空 腔 区 ) BGA 封 装 具 有 以 下 特 点 : 1.I/O 引 脚 数 虽 然 增 多, 但 引 脚 之 间 的 距 离 远 大 于 QFP 封 装 方 式, 提 高 了 成 品 率 2. 虽 然 BGA 的 功 耗 增 加, 但 由 于 采 用 的 是 可 控 塌 陷 芯 片 法 焊 接, 从 而 可 以 改 善 电 热 性 能 3. 信 号 传 输 延 迟 小, 适 应 频 率 大 大 提 高 4. 组 装 可 用 共 面 焊 接, 可 靠 性 大 大 提 高 BGA 封 装 方 式 经 过 十 多 年 的 发 展 已 经 进 入 实 用 化 阶 段 1987 年, 日 本 西 铁 城 (Citizen) 公 司 开 始 着 手 研 制 塑 封 球 栅 面 阵 列 封 装 的 芯 片 ( 即 BGA) 而 后, 摩 托 罗 拉 康 柏 等 公 司 也 随 即 加 入 到 开 发 BGA 的 行 列 1993 年, 摩 托 罗 拉 率 先 将 BGA 应 用 于 移 动 电 话 同 年, 康 柏 公 司 也 在 工 作 站 PC 电 脑 上 加 以 应 用 直 到 五 六 年 前,Intel 公 司 在 电 脑 CPU 中 ( 即 奔 腾 II 奔 腾 III 奔 腾 IV 等 ), 以 及 芯 片 组 ( 如 i850) 中 开 始 使 用 BGA, 这 对 BGA 应 用 领 域 扩 展 发 挥 了 推 波 助 澜 的 作 用 目 前,BGA 已 成 为 极 其 热 门 的 IC 封 装 技 术, 其 全 球 市 场 规 模 在 2000 年 为 12 亿 块, 预 计 2005 年 市 场 需 求 将 比 2000 年 有 70% 以 上 幅 度 的 增 长 五 CSP 芯 片 尺 寸 封 装 随 着 全 球 电 子 产 品 个 性 化 轻 巧 化 的 需 求 蔚 为 风 潮, 封 装 技 术 已 进 步 到 CSP(Chip Size Package) 它 减 小 了 芯 片 封 装 外 形 的 尺 寸, 做 到 裸 芯 片 尺 寸 有 多 大, 封 装 尺 寸 就 有 多 大 即 封 装 后 的 IC 尺 寸 边 长 不 大 于 芯 片 的 1.2 倍,IC 面 积 只 比 晶 粒 (Die) 大 不 超 过 1.4 倍 CSP 封 装 又 可 分 为 四 类 : 1.Lead Frame Type( 传 统 导 线 架 形 式 ), 代 表 厂 商 有 富 士 通 日 立 Rohm 高 士 达 (Goldstar) 等 等 2.Rigid Interposer Type( 硬 质 内 插 板 型 ), 代 表 厂 商 有 摩 托 罗 拉 索 尼 东 芝 松 下 等 等 3.Flexible Interposer Type( 软 质 内 插 板 型 ), 其 中 最 有 名 的 是 Tessera 公 司 的 microbga,cts 的 sim-bga 也 采 用 相 同 的 原 理 其 他 代 表 厂 商 包 括 通 用 电 气 (GE) 和 NEC 4.Wafer Level Package( 晶 圆 尺 寸 封 装 ): 有 别 于 传 统 的 单 一 芯 片 封 装 方 式,WLCSP 是 将 整 片 晶 圆 切 割 为 一 颗 颗 的 单 一 芯 片, 它 号 称 是 封 装 技 术 的 未 来 主 流, 已 投 入 研 发 的 厂 商 包 括 FCT Aptos 卡 西 欧 EPIC 富 士 通 三 菱 电 子 等 CSP 封 装 具 有 以 下 特 点 : 1. 满 足 了 芯 片 I/O 引 脚 不 断 增 加 的 需 要 96

97 2. 芯 片 面 积 与 封 装 面 积 之 间 的 比 值 很 小 3. 极 大 地 缩 短 延 迟 时 间 CSP 封 装 适 用 于 脚 数 少 的 IC, 如 内 存 条 和 便 携 电 子 产 品 未 来 则 将 大 量 应 用 在 信 息 家 电 (IA) 数 字 电 视 (DTV) 电 子 书 (E-Book) 无 线 网 络 WLAN/GigabitEthemet ADSL / 手 机 芯 片 蓝 芽 (Bluetooth) 等 新 兴 产 品 中 六 MCM 多 芯 片 模 块 为 解 决 单 一 芯 片 集 成 度 低 和 功 能 不 够 完 善 的 问 题, 把 多 个 高 集 成 度 高 性 能 高 可 靠 性 的 芯 片, 在 高 密 度 多 层 互 联 基 板 上 用 SMD 技 术 组 成 多 种 多 样 的 电 子 模 块 系 统, 从 而 出 现 MCM(Multi Chip Model) 多 芯 片 模 块 系 统 MCM 具 有 以 下 特 点 : 1. 封 装 延 迟 时 间 缩 小, 易 于 实 现 模 块 高 速 化 2. 缩 小 整 机 / 模 块 的 封 装 尺 寸 和 重 量 3. 系 统 可 靠 性 大 大 提 高 97

98 第 2 章 绘 制 单 片 机 试 验 板 本 章 通 过 单 片 机 试 验 板 实 例, 介 绍 一 个 双 面 PCB 的 完 整 设 计 过 程 对 一 些 具 体 设 计 技 巧 和 操 作 进 行 了 重 点 介 绍, 如 网 络 名 称 的 使 用 二 层 板 的 规 划 全 局 修 改 敷 铜 补 泪 滴 等 2.1 原 理 图 设 计 本 例 欲 绘 制 的 原 理 图 如 图 2-1A 和 2-1B 所 示 下 面 分 别 介 绍 各 部 分 原 理 图 的 绘 制 方 法 98

99 图 2-1A 单 片 机 系 统 原 理 图 99

100 图 2-1B 其 它 部 分 原 理 图 新 建 PCB 工 程 首 先 打 开 Protel DXP 软 件, 然 后 按 照 图 2-2 的 方 式 新 建 空 白 PCB 工 程 再 按 照 图 2-3 的 方 式 保 存 该 PCB 工 程, 在 弹 出 的 对 话 框 中 选 好 合 适 的 路 径, 并 命 名 为 单 片 机 试 验 板.PRJPCB, 如 图 2-4 所 示 100

101 图 2-2 图

102 图 新 建 原 理 图 文 件 右 键 单 击 单 片 机 试 验 板.PRJPCB, 如 图 2-5 所 示, 新 建 原 理 图 文 件, 右 侧 出 现 的 白 色 空 白 区 域 即 为 原 理 图 绘 制 区 保 存 该 原 理 图 文 件, 与 PCB 工 程 路 径 一 致, 重 命 名 为 单 片 机 试 验 板.SchDoc 图 设 置 原 理 图 纸 张 大 小 102 单 击 Design 菜 单, 选 择 Options 命 令, 就 会 弹 出 Document Option 对 话 框

103 在 对 话 框 的 Standard Style 区 通 过 下 拉 菜 单 设 定 图 纸 大 小 为 A4 实 际 中 应 根 据 需 要 设 定 图 纸 大 小 放 置 元 件 下 面 向 原 理 图 中 放 置 元 件, 先 只 放 置 主 要 的 6 个 芯 片 元 件 对 于 这 6 个 元 件, 哪 些 在 Protel DXP 自 带 的 元 件 库 中 有, 哪 些 没 有, 暂 时 都 不 清 楚 先 利 用 Protel DXP 的 元 件 查 找 功 能 来 搜 索 元 件 1 搜 索 元 件 鼠 标 移 到 Protel DXP 右 上 角 的 Libraries 标 签 上, 系 统 会 自 动 弹 出 Libraries 面 板, 如 图 2-6 所 示 然 后 点 击 该 面 板 上 的 Search 按 钮, 弹 出 图 2-7 所 示 的 对 话 框 图 2-6 将 对 话 框 中 库 文 件 所 在 路 径 设 置 为 Protel DXP 安 装 文 件 夹 中 的 库 文 件 所 在 位 置, 这 里 的 设 置 如 图 2-7 所 示 Search Crietria 区 域 中 Name 栏 中 填 入 的 是 要 搜 寻 的 元 件 名 称 (1) 首 先 填 入 *89C51*, 然 后 点 击 Search Libraries 对 话 框 中 的 Search 按 钮, 进 行 搜 索 这 时, 对 话 框 会 自 动 切 换 到 Results 标 签 页 下, 并 在 对 话 框 下 方 显 示 正 在 搜 索 的 库 文 件 注 意 : 在 元 件 名 称 前 后 最 好 都 加 上 通 配 符 *, 因 为 很 难 确 保 元 件 库 中 该 元 件 的 名 称 正 好 和 输 入 的 搜 索 名 称 一 字 不 差, 否 则 会 出 现 搜 索 不 利 的 结 果 103

104 搜 索 完 毕, 对 话 框 显 示 空 白, 说 明 Protel DXP 自 带 的 集 成 元 件 库 中 没 有 该 元 件, 需 要 自 己 绘 制 它 的 原 理 图 符 号 这 放 在 后 面 介 绍, 下 面 继 续 搜 索 其 它 元 件 图 2-7 Search Libraries 对 话 框 (2) 搜 索 *NE555*, 结 果 如 图 2-8 所 示 其 中,NE555N 就 是 本 例 所 需 要 的, 当 然 除 了 NE555D 和 NE555FK 的 PCB 封 装 不 同 外, 其 它 几 个 元 件 的 原 理 图 好 PCB 封 装 均 相 同, 可 以 换 用 104

105 图 2-8 搜 索 *NE555* 的 结 果 显 示 (3) 点 击 Select 按 钮, 则 会 载 入 该 库 文 件 并 直 接 自 动 切 换 到 Libraries 面 板 (4) 双 击 Libraries 面 板 中 的 元 件 名 NE555N, 将 其 放 置 在 原 理 图 中 (5) 参 照 上 面 的 步 骤 和 操 作, 搜 寻 MAX232 元 件, 并 将 其 放 入 原 理 图 中 (6) 下 一 个 是 24C01 芯 片, 搜 寻 结 果 如 图 2-9 所 示 在 元 件 列 表 栏 中 出 现 这 么 多 元 件, 是 不 是 难 以 选 择? 其 实 只 要 通 过 对 话 框 下 面 的 原 理 图 和 PCB 封 装 的 示 意 图, 就 可 以 发 现 这 些 元 件 的 原 理 图 符 号 好 PCB 封 装 其 实 只 有 两 种 : 一 种 是 贴 片 式, 一 种 是 直 插 式 本 例 采 用 直 插 式, 因 此 选 择 第 一 种 器 件 105

106 图 2-9 搜 寻 24C01 的 结 果 显 示 (7) X25045 芯 片 也 没 有 搜 索 到, 需 要 自 己 来 创 建 此 时 可 关 闭 Libraries 面 板, 因 为 其 它 元 件 可 直 接 在 Miscellaneous Devices.IntLib 中 直 接 通 过 观 察 原 理 图 符 号 示 意 图 找 到, 如 (8) 中 所 述 (8) 寻 找 共 阳 极 数 码 管 如 图 2-10 所 示, 单 击 工 具 栏 上 的 图 标, 会 弹 出 图 2-11 所 示 的 对 话 框 图 2-10 工 具 栏 106

107 图 2-11 放 置 元 件 对 话 框 单 击 图 2-11 中 的 按 钮, 就 会 弹 出 图 2-12 所 示 的 浏 览 库 对 话 框, 在 其 中 选 择 Miscellaneous Devices.IntLib 库, 在 下 面 就 可 以 找 到 所 需 的 共 阳 极 数 码 管, 即 Dpy Red-CA, 左 键 单 击, 使 其 变 蓝 注 意, 后 面 两 个 字 符 是 CC 的, 为 共 阴 极 数 码 管 图 2-12 浏 览 库 对 话 框 然 后 单 击 OK 按 钮, 再 接 着 出 现 的 对 话 框 中 也 单 击 OK 按 钮, 该 共 阳 极 数 码 管 原 理 图 就 跟 随 着 鼠 标 了, 在 合 适 的 位 置 单 击 左 键, 即 可 放 置 该 元 件, 此 方 式 可 连 续 放 置 多 个 同 样 107

108 的 元 件, 如 放 置 完 毕 可 单 击 右 键, 再 次 区 选 择 别 的 器 件, 也 可 单 击 Cancel 按 钮 结 束 元 件 的 选 择 2 创 建 原 理 图 库 并 不 是 所 有 元 件 在 自 带 库 中 都 能 找 到, 找 不 到 的 元 件 就 只 能 自 己 去 建 立 其 原 理 图 库, 同 样 也 必 须 建 立 其 PCB 库 (1) 创 建 单 片 机 原 理 图 库 右 键 单 击 单 片 机 试 验 板.PRJPCB, 在 弹 出 的 菜 单 中 执 行 New / Schematic Library, 创 建 一 个 原 理 图 库 文 件, 并 保 存 为 单 片 机 试 验 板.SCHLIB 注 意 不 同 文 件 的 后 缀 单 击 面 板 下 方 的 Library Editor 标 签, 切 换 到 Library Editor 面 板, 如 图 2-13 所 示 图 2-13 Library Editor 面 板 单 击 元 件 列 表 栏 下 的 Edit 按 钮 ( 最 上 面 的 ), 在 弹 出 的 Library Component Properties 对 话 框 中, 将 Designator 项 设 为 U?, Library Ref 项 设 为 AT89C51, 如 图 2-14 所 示 确 定 后, 就 可 以 在 绘 图 区 开 始 绘 制 该 元 件 的 原 理 图 符 号 了 108

109 图 2-14 Library Component Properties 对 话 框 单 击 工 具 栏 上 的 图 标, 绘 制 元 件 轮 廓 在 绘 图 区 单 击 左 键, 可 确 定 绘 图 的 起 始 点, 然 后 拖 动 鼠 标, 画 出 一 个 合 适 大 小 的 矩 形 区 域, 然 后 在 单 击 左 键 即 可 矩 形 大 小 还 可 以 用 鼠 标 拖 动 边 框 来 调 整 单 击 工 具 栏 上 的 图 标, 可 以 放 置 元 件 各 管 脚 如 图 2-15 所 示 109

110 图 2-15 放 置 管 脚 在 管 脚 放 置 前, 可 按 空 格 键 进 行 管 脚 的 旋 转 注 意, 引 脚 带 有 叉 号 的 为 电 气 连 接 端, 应 朝 外 在 管 脚 放 置 前, 还 要 更 改 管 脚 名 称, 按 Tab 键, 会 弹 出 图 2-16 所 示 的 对 话 框 图 2-16 引 脚 属 性 对 话 框 更 改 对 话 框 中 的 Display Name 为 合 适 的 引 脚 名 称, 更 改 Designator 为 合 适 的 管 脚 序 号, 然 后 单 击 OK 按 钮 每 个 管 脚 都 要 进 行 以 上 操 作, 引 脚 名 称 与 序 号 都 递 增 的 除 外 创 建 好 的 原 理 图 见 图 2-17 注 意 : 管 脚 16 的 写 法 为 W\R\, 其 在 图 上 就 显 示 为 字 符 上 加 横 线 注 意 保 存 110

111 图 2-17 单 片 机 原 理 图 符 号 (2) 创 建 X25045 原 理 图 库 单 击 元 件 下 面 的 Add 按 钮, 在 弹 出 的 对 话 框 中 输 入 X25045, 然 后 单 击 OK, 在 原 理 图 库 中 就 新 添 加 了 该 元 件 在 右 边 空 白 区 以 上 述 方 法 绘 制 该 元 件 的 原 理 图 最 后 结 果 如 图 2-18 所 示 图 2-18 X25045 原 理 图 符 号 保 存 库 文 件, 然 后 将 两 个 元 件 托 放 入 原 理 图 中, 拖 放 方 法 与 拖 放 数 码 管 类 似 最 后, 原 理 图 上 放 置 了 6 个 主 要 元 件 技 巧 : 单 击 不 放 某 个 元 件, 按 空 格 键 可 以 旋 转 ; 按 X 键 可 以 左 右 对 调 旋 转 ; 按 Y 键, 可 以 使 元 件 上 下 对 调 旋 转 AT89C51 的 电 路 连 接 1 阵 列 粘 贴 在 元 件 库 Miscellaneous Device.IntLib 中 找 到 元 件 LED3 和 Res2, 将 其 放 入 原 理 图 纸 中 本 例 只 用 到 它 们 的 原 理 图 符 号, 而 它 们 自 带 的 封 装 将 在 后 面 的 设 计 过 程 中 进 111

112 行 调 换 两 元 件 的 放 置 如 图 2-19 所 示 图 2-19 电 阻 与 LED 的 放 置 注 意 : 双 击 任 一 元 件 可 打 开 其 属 性 对 话 框, 可 在 其 中 更 改 元 件 名 称 属 性 参 数 等 将 Res2 的 Value 设 置 为 330 下 面 进 行 阵 列 粘 贴 工 作 (1) 用 鼠 标 光 标 圈 住 图 2-19 所 示 的 区 域, 选 定 两 个 元 件 然 后 按 下 Ctrl 和 C 键 进 行 复 制 操 作, 出 现 十 字 光 标 后, 在 光 标 点 击 位 置 选 取 复 制 参 考 点 (2) 点 击 工 具 栏 上 的 按 钮, 执 行 阵 列 粘 贴 命 令 在 弹 出 的 对 话 框 中, 参 数 设 置 如 图 2-20 所 示 图 2-20 阵 列 粘 贴 对 话 框 Item Count : 项 目 个 数, 即 要 重 复 粘 贴 复 制 的 元 件 个 数, 设 为 7; Text Increment : 粘 贴 中 元 器 件 序 号 的 增 量, 取 默 认 值 1 ; Horizontal : 参 考 点 的 水 平 间 距, 设 为 0 ; Vertical : 参 考 点 的 垂 直 间 距, 设 为 -40, 由 上 往 下 排 列 (3) 单 击 OK 按 钮, 光 标 变 为 十 字 后, 在 绘 图 区 单 击 鼠 标 左 键 选 择 阵 列 粘 贴 的 其 实 位 置 阵 列 从 单 击 鼠 标 处 开 始 粘 贴, 如 图 2-21 所 示 然 后 点 击 工 具 栏 上 的 按 钮, 添 加 导 线 ; 点 击 按 钮, 按 Tab 键, 在 弹 出 的 对 话 框 中 可 以 选 择 电 源 的 形 式 以 及 符 号, 单 击 OK 后 即 可 添 加 电 源 符 号 发 光 二 极 管 电 路 连 接 结 果 如 图 2-22 所 示 112

113 图 2-21 阵 列 粘 贴 结 果 图 2-22 电 路 连 接 结 果 2 绘 制 总 线 和 添 加 网 络 名 称 为 了 便 于 读 图, 看 清 不 同 元 件 间 的 电 气 连 接 关 系, 可 以 绘 制 总 线 所 谓 总 线 就 是 代 表 数 条 并 行 导 线 的 一 条 线 总 线 本 身 没 有 任 何 电 气 连 接 意 义, 电 气 连 接 关 系 还 是 要 靠 网 络 名 称 来 定 义 使 用 总 线 代 替 一 组 导 线 时, 通 常 需 要 与 总 线 分 支 线 来 配 合 操 作 步 骤 如 下 : (1) 单 击 工 具 栏 中 按 钮 (2) 出 现 十 字 光 标 后, 可 以 绘 制 总 线 绘 制 方 法 与 绘 制 导 线 一 样 在 每 一 个 转 折 点 单 击 鼠 标 左 键 确 认 绘 制 的 这 一 段 总 线, 在 终 点 单 击 鼠 标 左 键 确 认 单 击 右 键 可 结 束 总 线 的 绘 制 绘 制 好 的 总 线 如 图 2-23 所 示 (3) 绘 制 总 线 分 支 线 单 击 工 具 栏 上 的 按 钮, 十 字 光 标 出 现, 并 带 有 总 线 分 支 线 按 空 格 键 可 改 变 总 线 分 支 线 的 方 向 将 十 字 光 标 移 到 合 适 的 位 置, 单 击 左 键, 即 将 总 线 分 支 线 放 置 在 光 标 当 前 位 置 只 要 不 单 击 右 键 取 消 操 作, 下 一 个 分 支 线 会 自 动 附 着 在 光 标 处, 可 以 继 续 放 置 绘 制 好 的 总 线 及 分 支 线 如 图 2-23 所 示 (4) 单 击 按 钮, 将 各 元 件 管 脚 与 总 线 分 支 线 用 导 线 相 连 113

114 图 2-23 绘 制 好 的 总 线 及 分 支 线 3 添 加 网 络 名 称 电 路 原 理 图 中 除 了 通 过 导 线 连 接 来 定 义 元 件 间 的 电 气 联 系 外, 也 可 以 通 过 网 络 名 称 来 实 现 元 件 间 的 电 气 连 接 所 谓 网 络 名 称, 就 是 一 个 电 气 节 点 具 有 相 同 网 络 名 称 的 电 源 符 号 接 地 符 号 元 件 管 脚 导 线 等 在 电 气 关 系 上 是 连 接 在 一 起 的 在 一 些 复 杂 的 原 理 图 中, 直 接 画 导 线 会 使 图 样 杂 乱 无 章, 而 使 用 网 络 名 称, 可 以 使 整 张 图 样 清 晰 易 懂 总 线 不 代 表 实 际 的 电 气 连 接 关 系, 所 以 在 绘 制 完 总 线 后 仍 需 要 添 加 网 络 名 称 图 9-24 放 置 网 络 后 的 发 光 二 极 管 电 路 114

115 (1) 单 击 工 具 栏 上 的 按 钮, 光 标 变 为 十 字 形, 并 出 现 一 个 随 光 标 移 动 的 带 虚 线 框 的 网 络 名 称 (2) 单 击 Tab 键, 弹 出 属 性 对 话 框, 将 网 络 名 称 改 为 需 要 的 符 号, 还 可 以 设 置 网 络 名 称 的 颜 色 及 字 体 等 (3) 将 网 络 名 称 对 应 地 放 在 单 片 机 的 管 脚 及 LED 管 脚 上 当 红 色 米 字 形 电 气 捕 捉 标 志 出 现 时, 再 点 击 左 键 确 定 最 后 结 果 如 图 2-24 所 示 4 开 关 连 接 在 库 文 件 中 选 择 元 件 SW-PB ( 按 键 ), 如 图 2-25 所 示 连 接 图 个 开 关 的 连 接 电 路 5 晶 振 电 路 在 库 中 取 一 个 晶 振 XTAL 和 两 个 电 容 C, 组 成 晶 振 电 路 见 图 C01 的 连 接 见 图 2-27 图 2-26 晶 振 电 路 115

116 图 C01 连 接 电 路 7 跳 线 连 接 管 脚 29 连 接 一 个 跳 线 ( 在 Miscellaneous Connectors.IntLib 库 中 ) 如 图 2-28 所 示 图 2-28 跳 线 连 接 电 路 8 数 码 管 连 接 见 图 2-29 图 2-29 数 码 管 连 接 电 路 9 单 片 机 其 它 管 脚 处 理 40 脚 连 电 源,20 脚 接 地,10 11 脚 分 别 连 网 络 标 志 NRX 和 NTX,30 脚 悬 空,9 脚 连 网 络 标 志 RST,21~28 脚 连 网 络 标 志 N20~N27,31 脚 接 VCC 连 接 电 路 116 见 图 2-30

117 图 连 接 电 路 复 位 电 路 X25045 是 一 款 看 门 狗 电 路, 可 在 系 统 程 序 出 现 死 锁 时 自 动 复 位 单 片 机 复 位 电 路 见 图 2-31 图 2-31 复 位 电 路 串 行 接 口 电 路 见 图

118 图 2-32 串 行 接 口 电 路 重 新 编 排 元 件 序 号 和 ERC 检 查 重 新 编 排 原 理 图 中 所 有 元 件 的 序 号, 执 行 菜 单 命 令 Tools / Annotate 后, 弹 出 图 2-33 所 示 的 对 话 框, 选 择 第 4 种 排 序 方 式 图 2-33 Annotate 对 话 框 118

119 单 击 按 钮 后, 再 单 击 弹 出 的 小 对 话 框 的 OK 按 钮, 然 后 单 击 按 钮, 就 会 弹 出 图 2-34 所 示 的 对 话 框 单 击 该 对 话 框 上 的 按 钮, 然 后 单 击 按 钮, 即 完 成 了 重 新 编 排 元 件 序 号 图 2-34 重 新 编 排 元 件 序 号 对 话 框 执 行 菜 单 命 令 Project / Compiler All Projects, 进 行 ERC 校 验, 弹 出 图 2-35 所 示 的 对 话 框 如 果 编 译 原 理 图 后, 没 有 自 动 弹 出 Message 对 话 框, 可 点 击 绘 图 区 下 方 的 Message 标 签 图 2-35 Message 对 话 框 其 中, 图 上 灰 色 阴 影 所 示 的 Error 是 指 MAX232 的 第 8 引 脚 没 有 连 接, 没 有 关 系, 其 它 的 警 告 等 也 没 关 系, 读 者 请 自 己 查 阅 119

120 2.2 PCB 设 计 元 件 的 PCB 封 装 准 备 本 例 中, 元 件 的 PCB 封 装 准 备 工 作 包 括 两 部 分 一 是 创 建 自 己 的 PCB 元 件 库, 即 绘 制 Protel DXP 自 带 元 件 库 中 没 有 的 PCB 封 装 ; 二 是 在 原 理 图 中, 对 没 有 PCB 封 装 和 需 要 调 整 PCB 封 装 的 元 件 指 定 PCB 封 装 1 绘 制 元 件 的 PCB 封 装 首 先 创 建 PCB 库 和 原 理 图 中 电 阻 使 用 的 AXIAL-0.2 PCB 封 装 (1) 新 建 PCB 库 文 件, 右 键 单 击 单 片 机 试 验 板.PRJPCB, 在 弹 出 的 菜 单 中 执 行 New / PCB Library, 创 建 一 个 PCB 库 文 件, 并 保 存 为 单 片 机 试 验 板.PCBLIB (2) 单 击 工 程 区 下 方 的 PCB Library 标 签, 会 弹 出 图 2-36 所 示 的 面 板 图 2-36 PCB Library 面 板 系 统 会 自 动 创 建 元 件 PCBCOMPONENT_1, 单 击 按 钮, 将 元 件 命 名 为 AXIAL-0.2 (3) 执 行 菜 单 命 令 Edit / Set Reference / Location, 在 图 上 选 择 合 适 位 置 放 置 原 点 (4) 单 击 绘 图 区 下 方 的 Top Overlay 标 签, 切 换 到 Top Overlay 层 120

121 (5) 绘 制 元 件 轮 廓 : 点 击 工 具 栏 上 的 图 标, 绘 制 120mil 60mil 的 矩 形 注 意 : 当 鼠 标 划 线 时, 左 下 方 状 态 栏 会 显 示 当 前 坐 标 (6) 然 后 在 矩 形 左 右 两 端 绘 制 两 个 40mil 长 的 线 段 (7) 切 换 到 Multi-Layer 层, 点 击 工 具 栏 上 的 图 标, 放 置 焊 盘 按 Tab 键 可 以 改 变 焊 盘 属 性, 这 里 取 默 认 值 放 置 完 焊 盘 后, 完 成 的 PCB 元 件 封 装 AXIAL-0.2 如 图 2-37 所 示 切 记 及 时 保 存 图 2-37 完 成 的 PCB 元 件 封 装 AXIAL-0.2 接 下 来, 在 创 建 的 单 片 机 试 验 板.PCBLIB 库 中, 使 用 PCB 元 件 封 装 向 导 添 加 发 光 二 极 管 的 封 装 (1) 单 击 PCB Library 面 板 中 的 按 钮, 系 统 会 弹 出 PCB 元 件 封 装 向 导 欢 迎 对 话 框 (2) 单 击 Next 按 钮, 在 出 现 的 对 话 框 中 选 择 Capacitors ( 电 容 ) 作 为 要 创 建 的 元 件 封 装 类 型, 如 图 2-38 所 示 图 2-38 选 择 电 容 作 为 要 创 建 的 元 件 封 装 类 型 121

122 (3) 单 击 Next 按 钮, 本 步 是 选 择 电 容 的 类 型 为 直 插 式 (4) 单 击 Next 按 钮, 本 步 设 置 孔 径 和 焊 盘 的 尺 寸, 将 孔 径 设 置 为 30mil, 焊 盘 各 层 均 为 70mil, 如 图 2-39 所 示 图 2-39 设 置 孔 径 和 焊 盘 尺 寸 (5) 单 击 Next 按 钮, 本 步 设 置 焊 盘 间 距, 将 其 设 置 为 100mil (6) 单 击 Next 按 钮, 本 步 选 择 电 容 元 件 封 装 的 类 型 见 图

123 图 2-40 设 置 电 容 类 型 与 形 状 该 对 话 框 中, 最 上 面 一 项 是 选 择 电 容 是 否 有 极 性, 选 择 Polarised ( 有 极 性 ) 中 间 选 项 决 定 电 容 装 配 型 号, 选 择 Radial, 这 时 会 出 现 第 三 项, 选 择 电 容 的 几 何 形 状, 选 定 Circle ( 圆 形 ) (7) 单 击 Next 按 钮, 设 置 元 件 轮 廓 的 线 宽 和 圆 形 轮 廓 的 半 径, 线 宽 取 默 认 值 10mil, 半 径 取 130mil (8) 单 击 Next 按 钮, 将 封 装 的 名 称 改 为 YLED (9) 单 击 Next 按 钮, 出 现 封 装 结 束 对 话 框, 单 击 Finishi 按 钮 结 束 生 成 的 PCB 元 件 封 装 如 图 2-41 所 示 图 2-41 向 导 生 成 的 发 光 二 极 管 的 PCB 封 装 (10) 最 后 需 要 对 该 封 装 进 行 调 整, 将 正 极 符 号 移 到 合 适 的 位 置, 从 而 减 少 元 件 封 装 所 占 的 面 积, 如 图 2-42 所 示 123

124 图 2-42 完 成 的 YLED 封 装 再 接 下 来, 添 加 一 个 开 关 的 PCB 封 装 (1) 单 击 PCB Library 面 板 中 的 按 钮 添 加 一 个 新 的 元 件 在 出 现 的 PCB 向 导 对 话 框 中 单 击 Cancel 按 钮, 器 件 区 就 会 出 现 一 个 新 的 元 件 PCBCOMPONENT_1 单 击 按 钮, 将 名 称 改 为 SW-PB (2) 首 先 在 Top Overlay 层 绘 制 一 个 320mil 240mil 的 元 件 轮 廓 点 击 工 具 栏 上 的 焊 盘 按 钮 添 加 焊 盘 此 时, 系 统 会 自 动 切 换 到 multi-layer 层 在 四 个 顶 角 处 添 加 4 个 焊 盘, 如 图 2-43 所 示 焊 盘 属 性 取 默 认 值 图 2-43 添 加 了 4 个 焊 盘 的 SW-PB 封 装 (3) 切 换 到 Top Layers 层, 点 击 工 具 栏 上 的 按 钮, 添 加 一 个 完 整 的 圆 周, 代 表 重 启 键 的 按 钮 先 在 矩 形 轮 廓 的 中 心 处 点 击 鼠 标, 确 定 圆 心 然 后 光 标 由 圆 心 向 外 移 动, 将 圆 周 拖 到 合 适 大 小 在 点 击 鼠 标 确 定 前, 圆 周 只 是 虚 线 完 成 的 SW-PB 封 装 如 图 2-44 所 示 图 2-44 完 成 的 SW-PB 封 装 124

125 添 加 电 容 使 用 的 RB.1.2 封 装 这 次, 使 用 手 工 绘 制 (1) 在 创 建 一 个 空 白 PCB 封 装 后, 重 命 名 为 RB.1.2 切 换 到 Top Overlay 层, 点 击 按 钮, 以 原 点 为 圆 心, 绘 制 一 个 半 径 为 110mil 的 元 件 轮 廓 在 点 击 圆 心 后, 光 标 顺 着 纵 轴 向 下, 以 屏 幕 左 下 角 的 坐 标 为 参 照, 当 坐 标 显 示 为 X:0mil Y:110mil 时, 点 击 确 定 完 成 的 圆 周 如 图 2-45 所 示 图 2-45 确 定 后 的 圆 周 (2) 切 换 到 multi-layer 层, 点 击 工 具 栏 上 的 按 钮, 为 封 装 添 加 焊 盘 按 Tab 键, 将 焊 盘 属 性 设 置 为 : 孔 径 为 28mil,x 和 y 轴 的 大 小 均 为 70mil 将 两 个 焊 盘 分 别 添 加 在 (-50mil,0)(50mil,0) 处 然 后 在 管 脚 2 的 上 方 绘 制 一 个 正 极 标 志 十 字 形, 如 图 2-46 所 示 图 2-46 完 成 的 RB.1.2 封 装 还 余 下 两 个 芯 片 AT89C51 和 X25045 的 封 装 没 有 指 定, 但 它 们 都 是 DIP 标 准 封 装, 可 以 在 库 中 搜 索 到, 不 必 自 己 创 建 2 指 定 元 件 的 PCB 封 装 接 下 来 在 原 理 图 中 指 定 或 修 改 各 元 件 的 PCB 封 装 (1) 先 处 理 原 理 图 中 的 电 阻, 所 有 电 阻 的 封 装 均 重 新 设 定 为 AXIAL.2 封 装 可 使 用 全 局 修 改 的 功 能 来 一 次 性 修 改 所 有 电 阻 的 PCB 封 装 在 选 中 一 个 电 阻 后, 单 击 鼠 标 右 键, 在 弹 出 的 菜 单 中 执 行 Find Similar Objects 菜 单 命 令 在 弹 出 的 Find Similar Objects 对 话 框 中, 如 图 2-47 所 示, 将 Description 设 为 Same, 选 中 Selected Matching 复 选 框 其 它 选 项 保 持 默 认 值, 然 后 点 击 OK 键, 运 行 全 局 查 找 功 能 125

126 图 2-47 查 找 电 阻 的 Find Similar Objects 对 话 框 运 行 全 局 查 找 后, 弹 出 Inspector 对 话 框, 如 图 2-48 所 示 如 果 没 有 弹 出 该 对 话 框, 可 以 单 击 下 方 的 Inspect 标 签 所 有 的 电 阻 元 件 被 突 出 显 示, 其 它 元 件 被 蒙 板 覆 盖 在 Inspector 对 话 框 中 将 元 件 封 装 修 改 为 AXIAL-0.2 按 回 车 键, 进 行 修 改 操 作 126

127 图 2-48 元 件 封 装 修 改 为 AXIAL-0.2 这 样, 所 有 电 阻 元 件 的 PCB 封 装 均 变 为 AXIAL-0.2 最 后 点 击 绘 图 区 右 下 方 的 Clear 标 签, 清 除 元 件 选 中 状 态, 恢 复 正 常 绘 图 过 程 (2) 同 样 的 操 作 步 骤, 修 改 发 光 二 极 管 的 PCB 封 装 为 YLED (3) 修 改 4 个 开 关 的 封 装 为 SW-PB 修 改 后, 可 以 双 击 元 件, 在 打 开 的 元 件 属 性 对 话 框 中 检 查 元 件 的 封 装 是 否 已 经 更 改 为 指 定 的 PCB 封 装, 如 图 2-49 所 示 也 可 在 元 件 属 性 对 话 框 中 修 改 元 件 的 封 装 127

128 图 2-49 在 元 件 属 性 对 话 框 中 检 查 封 装 (4) 修 改 电 容 封 装 由 于 电 容 有 很 多 种, 大 小 不 一, 所 以 本 例 中 电 容 需 要 多 种 封 装 将 晶 振 电 路 中 的 两 个 电 容 封 装 改 为 RAD-0.1, 其 它 无 极 性 电 容 封 装 修 改 为 RAD-0.2, 其 它 有 极 性 电 容 封 装 改 为 RB.1.2 双 击 某 个 电 容, 弹 出 图 2-49 所 示 的 属 性 对 话 框 选 中 对 话 框 右 下 角 的 封 装 项, 单 击 下 方 的 按 钮, 重 新 指 定 电 容 的 封 装 在 弹 出 的 PCB Model 对 话 框 中, 选 中 Library Name 单 选 框, 并 单 击 按 钮, 弹 出 图 2-50 所 示 的 对 话 框, 在 该 对 话 框 中 重 新 设 定 封 装 选 择 Miscellaneous Devices.IntLib 库 中 的 RAD-0.1 封 装 以 同 样 的 方 式 修 改 所 有 电 容 的 封 装 128

129 图 2-50 重 新 设 定 所 选 器 件 的 封 装 (5) 晶 振 的 封 装 修 改 为 RAD-0.2 (6) 为 AT89C51 添 加 PCB 封 装 双 击 AT89C51, 在 弹 出 的 元 件 属 性 对 话 框 右 下 角 的 Model 栏 中, 点 击 编 辑 按 钮 系 统 弹 出 PCB Model 对 话 框, 单 击 按 钮, 在 弹 出 的 Browse Libraries 对 话 框 中 点 击 按 钮 在 弹 出 的 Search Libraries 对 话 框 中 查 找 DIP-40 封 装, 结 果 如 图 2-51 所 示 可 以 看 出, 有 很 多 DIP-40 封 装, 它 们 都 是 标 准 的, 完 全 相 同, 任 一 选 择 一 个, 单 击 按 钮 即 可 129

130 图 2-51 DIP-40 的 搜 索 结 果 (7) 仿 照 第 4 步 的 方 式 将 X25045 的 封 装 指 定 为 DIP8 这 样, 原 理 图 中 所 有 元 件 的 PCB 封 装 设 置 完 毕 PCB 生 成 向 导 完 成 原 理 图 的 设 计 和 封 装 的 修 改, 就 进 入 PCB 图 的 设 计 下 面 利 用 PCB 文 件 生 成 向 导 来 完 成 空 白 PCB 文 件 的 创 建 (1) 右 键 单 击 单 片 机 试 验 板.PRJPCB, 在 弹 出 的 菜 单 中 执 行 New / Other, 左 侧 会 出 现 图 2-52 所 示 的 面 板 单 击 面 板 中 的 命 令, 进 入 PCB 文 件 生 成 向 导 系 统 会 弹 出 PCB Board Wizard 欢 迎 对 话 框 130

131 图 2-52 文 件 面 板 (2) 单 击 Next 按 钮 继 续, 下 一 步 对 话 框 是 设 置 PCB 的 尺 寸 单 位 选 择 Metric 单 选 框, 将 尺 寸 单 位 设 为 公 制 mm (3) 单 击 Next 按 钮 继 续, 设 置 PCB 的 类 型 选 择 Custom 选 项, 根 据 需 要 自 己 来 规 划 PCB (4) 单 击 Next 按 钮 继 续, 设 定 PCB 的 尺 寸 参 数, 如 图 2-53 所 示 将 图 纸 的 宽 度 设 为 180mm, 高 度 设 为 150mm, 系 统 会 自 动 将 其 变 为 mil 的 单 位 注 意 : 此 处 设 置 的 是 图 纸 大 小, 不 是 印 制 电 路 板 的 大 小 将 所 有 的 复 选 框 均 设 为 非 选 中 状 态, 将 Dimension Layer 设 为 None, 不 添 加 尺 寸 标 注 层 131

132 图 2-53 设 定 PCB 的 尺 寸 参 数 (5) 单 击 Next 按 钮 继 续, 本 步 是 板 层 设 置 对 话 框 由 于 本 例 是 双 层 板, 所 以 选 择 2 个 信 号 层 (Signal Layer), 将 内 电 层 (Power Plane) 设 为 0 (6) 单 击 Next 按 钮 继 续, 设 置 过 孔 的 样 式, 选 择 通 孔 (Thruhole Vias only) 另 一 个 选 项 为 盲 孔 和 过 孔 (7) 单 击 Next 按 钮 继 续, 设 置 元 件 / 布 线 策 略 根 据 器 件 的 选 型, 看 使 用 的 器 件 是 直 插 式 元 件 还 是 表 贴 元 件, 还 要 看 元 件 的 安 装 方 式 是 单 面 或 双 面 本 例 取 默 认, 即 直 插 元 件, 并 将 元 件 只 布 置 在 一 面 (8) 单 击 Next 按 钮 继 续, 设 置 导 线 和 过 孔 的 尺 寸 以 及 安 全 间 距 等 参 数 取 默 认 值 即 可 (9) 单 击 Finishi 按 钮, 完 成 PCB 板 向 导 的 设 置 保 存 文 件, 命 名 为 单 片 机 试 验 板.PcbDoc, 注 意 要 保 存 在 工 程 路 径 中 可 以 看 出,PCB 板 区 的 栅 格 很 大, 不 利 于 布 线, 因 此 需 对 其 进 行 设 置 在 黑 色 PCB 板 区 单 击 鼠 标 右 键, 执 行 Options / Grids 命 令, 则 会 弹 出 栅 格 参 数 设 置 对 话 框 将 其 中 的 三 种 栅 格 选 项 均 设 为 最 小 值, 如 图 2-54 所 示 单 击 OK 按 钮 后 可 以 看 出 PCB 板 区 栅 格 变 小, 已 看 不 见 了, 只 有 放 大 后 才 可 见 132

133 图 2-54 栅 格 参 数 设 置 对 话 框 PCB 元 件 布 局 首 先, 在 PCB 文 件 中 同 步 导 入 网 络 表 和 元 件 封 装 (1) 确 认 在 PCB 绘 图 区, 执 行 菜 单 命 令 Design / Import Changes From 注 意 : 要 保 证 NE555 MAX232 和 24C01 三 个 芯 片 所 在 的 库 文 件 也 加 载 到 了 工 程 里 否 则, 它 们 的 元 件 封 装 无 法 载 入 到 PCB 图 中 (2) 在 弹 出 的 Engineering Change Order 对 话 框 中, 点 击 按 钮 如 图 2-55 所 示 右 侧 Check 列 就 会 出 现 绿 色 的 对 号 或 红 色 的 叉 号, 如 果 是 红 色 的 叉 号 表 明 该 元 件 的 引 脚 封 装 不 正 确, 应 该 回 到 原 理 图 修 改, 直 到 全 部 都 为 绿 色 的 对 号 (3) 核 实 无 误 后, 点 击 按 钮, 执 行 变 更 然 后 单 击 按 钮 图 2-56 为 载 入 元 件 封 装 好 网 络 表 后 的 PCB 电 路 图 133

134 图 2-55 载 入 元 件 封 装 的 对 话 框 图 2-56 载 入 网 络 表 和 元 件 封 装 的 PCB 图 1 元 件 预 定 位 首 先 单 击 绿 色 的 斜 纹 框, 点 击 键 盘 的 Delete 键, 将 其 删 除 然 后 手 工 方 式 将 各 元 件 拖 入 PCB 图 区, 根 据 需 要 排 好 位 置 在 手 工 调 整 位 置 时, 可 以 利 用 查 找 功 能, 根 据 元 件 的 序 号 在 原 理 图 和 PCB 图 上 快 速 查 找 元 件, 提 高 操 作 效 率 举 例 如 下 : 原 理 图 中, 同 时 按 下 Ctrl 和 F 键, 弹 出 Find Text 对 话 框 在 其 中 的 Text Find 栏 中 输 入 要 查 找 的 元 件 序 号 C1, 点 击 OK 键 后, 系 统 自 动 查 找 元 件, 并 将 窗 口 显 示 为 适 当 的 大 小 移 动 匹 配 元 件 到 窗 口 中 心 134

135 PCB 图 中 查 找 元 件, 需 要 在 工 具 栏 上 的 查 找 框 中 输 入 查 找 元 件 的 序 号, 如 图 2-57 所 示 输 入 C3 后 回 车, 系 统 会 放 大 显 示 搜 索 到 的 器 件, 并 屏 蔽 其 它 元 件 图 2-57 查 找 工 具 栏 可 按 Page Down 或 Page Up 键 缩 小 或 放 大 视 图, 选 择 合 适 的 视 图 大 小, 以 便 拖 动 元 件 可 以 点 击 右 下 方 的 Clear 标 签 或 按 Shift + C 键 清 除 屏 蔽 在 布 局 调 整 过 程 中, 会 用 到 元 件 布 局 自 动 排 列 调 整 功 能 自 动 排 列 工 具 栏 如 图 2-58 所 示, 共 有 15 项 图 2-58 自 动 排 列 工 具 栏 举 例 如 下 : 图 2-59 中 几 个 电 阻 不 整 齐, 而 且 间 距 不 均 匀 鼠 标 选 中 全 部 6 个 电 阻, 然 后 点 击 工 具 栏 上 的 ( 上 对 齐 ) 按 钮, 则 6 个 电 阻 水 平 对 齐 再 点 击 工 具 栏 上 的 ( 水 平 等 间 距 ) 按 钮, 操 作 结 果 如 图 2-60 所 示 图 2-59 不 整 齐 的 6 个 电 阻 图 2-60 自 动 排 列 操 作 后 的 结 果 2 调 整 元 件 标 注 大 部 分 元 件 标 注, 只 需 要 被 点 击 选 中 后, 直 接 拖 动 并 在 放 置 前 按 空 格 键 旋 转 到 合 适 的 角 度 即 可 但 有 时 某 些 元 件 的 标 注 因 为 空 间 狭 小, 无 法 安 排, 就 需 要 调 整 标 注 的 字 体 双 击 标 注, 弹 出 Designator 对 话 框, 将 字 体 的 高 度 修 改 为 合 适 的 值, 则 标 注 字 体 就 会 变 小 135

136 2.2.4 布 线 因 为 本 例 是 2 层 板, 使 用 自 动 布 线 与 手 工 调 整 的 方 式 就 可 以 达 到 比 较 好 的 效 果 在 自 动 布 线 参 数 设 置 中, 先 对 线 宽 进 行 设 置 (1) 执 行 Design 菜 单 下 的 Rules 命 令, 设 置 第 一 个 线 宽 规 则, 规 定 所 有 网 络 的 导 线 基 本 宽 度 是 0.3mm, 如 图 2-61 所 示 图 2-61 设 定 第 一 个 线 宽 规 则 (2) 添 加 一 个 线 宽 规 则, 将 网 络 分 别 设 为 GND 和 VCC, 推 荐 线 宽 设 为 1mm, 最 小 线 宽 设 为 0.6mm, 最 大 线 宽 设 为 1mm 在 设 定 完 数 值 后, 需 要 鼠 标 点 击 对 话 框 中 的 其 它 数 值, 才 能 使 设 定 数 值 生 效 注 意 : 必 须 首 先 设 定 最 大 线 宽, 才 能 设 定 推 荐 线 宽 执 行 菜 单 命 令 Auto Route / All 在 弹 出 的 对 话 框 中 点 击 按 钮, 进 行 自 动 布 线 自 动 布 线 后, 还 有 很 多 不 尽 人 意 的 地 方, 需 要 手 工 调 整 若 想 取 消 布 线, 可 以 执 行 菜 单 命 令 Tools / Un-Route / All 136

137 2.2.5 补 泪 滴 在 导 线 和 焊 盘 或 者 导 孔 的 连 接 处 添 加 一 段 过 渡, 其 形 状 呈 泪 滴 状, 形 象 地 叫 做 泪 滴 泪 滴 的 作 用 是 在 钻 孔 时, 避 免 导 线 与 焊 盘 的 接 触 点 因 为 应 力 集 中 而 断 裂 添 加 泪 滴 的 操 作 就 叫 做 补 泪 滴 执 行 菜 单 命 令 Tools / Teardrops, 弹 出 图 2-62 所 示 的 泪 滴 项 对 话 框 图 2-62 补 泪 滴 选 项 对 话 框 在 该 对 话 框 中 有 3 个 区 域 设 置 (1) General 区 All Pads : 设 置 是 否 所 有 焊 盘 都 补 泪 滴 ; All Vias : 设 置 是 否 所 有 通 孔 都 补 泪 滴 ; Selected Objects Only : 设 置 是 否 将 被 选 取 的 组 件 补 泪 滴 ; Force Teardrops : 设 置 是 否 强 制 补 泪 滴 ; Create Report : 设 置 是 否 生 成 补 泪 滴 的 报 告 文 件 (2) Action 区 Add : 添 加 泪 滴 ; Remove : 删 除 泪 滴 (3) Teardrop Style 区 Arc : 圆 弧 形 泪 滴 ; Track : 导 线 形 泪 滴 在 本 例 中, 不 需 要 为 所 有 的 焊 盘 都 添 加 泪 滴, 所 有 选 中 Selected Objects Only 选 项, 只 为 选 中 的 焊 盘 补 泪 滴 另 外 需 要 注 意 的 是, All Pads 选 项 也 必 须 被 选 中, 否 而 执 行 补 泪 滴 操 作 并 不 能 给 选 择 的 焊 盘 添 加 上 泪 滴 举 例 如 下, 对 串 口 的 3 个 连 接 有 导 线 的 焊 盘 补 泪 滴 (1) 按 下 Shift 键 的 同 时, 点 击 3 个 焊 盘 这 样 可 以 同 时 选 中 它 们, 如 图 2-63 所 示 137

138 图 2-63 同 时 选 中 串 口 的 3 个 焊 盘 (2) 启 动 菜 单 命 令 Tools / Teardrops, 设 置 只 为 选 中 的 对 象 补 泪 滴 和 Track 形 泪 滴 (3) 点 击 OK 按 钮, 执 行 补 泪 滴 操 作, 结 果 如 图 2-64 所 示 图 2-64 补 泪 滴 后 的 效 果 敷 铜 敷 铜 就 是 将 电 路 板 空 白 的 地 方 铺 满 铜 膜, 注 意 目 的 是 提 高 电 路 板 的 抗 干 扰 能 力 通 常 将 铜 膜 接 地, 这 样 电 路 板 中 空 白 的 地 方 就 铺 满 了 接 地 的 铜 膜, 电 路 板 的 抗 干 扰 能 力 会 得 到 显 著 提 高 执 行 Design 菜 单 下 的 Rules 命 令, 进 入 布 线 规 则 和 线 宽 设 置 对 话 框 双 击 左 侧 的 Plane 项, 下 面 有 3 项 与 敷 铜 相 关 的 设 计 规 则 (1) Power Plane Connect Styles 设 计 规 则 这 是 当 敷 铜 与 导 孔 或 焊 盘 网 络 相 同 时, 敷 铜 与 焊 盘 间 的 连 接 取 默 认 值 即 可 (2) Power Plane Clearance 故 则 设 置 敷 铜 与 导 线 或 焊 盘 间 的 安 全 间 距, 推 荐 采 用 0.6mm 以 上 (3) Polygon Connect Style 规 则 连 接 方 式 选 择 Protel DXP 提 供 了 3 种 连 接 方 式 : Relief Connect : 导 线 连 接 ; Direct Connect : 直 接 连 接 ; None Connect : 没 有 连 接 本 例 使 用 的 是 系 统 默 认 的 4 个 导 线 /90 连 接 方 式 138

139 下 面 进 行 敷 铜 操 作 (1) 点 击 工 具 栏 上 的 按 钮, 弹 出 图 2-65 所 示 的 对 话 框 并 按 该 图 所 示 方 式 设 置 参 数 图 2-65 敷 铜 参 数 设 置 (2) 点 击 OK 按 钮, 然 后 将 变 为 十 字 的 光 标 在 板 的 左 下 侧 点 击, 依 次 放 置 下 确 定 敷 铜 范 围 的 4 个 矩 形 顶 点 放 置 下 第 3 个 顶 点 后, 可 以 看 到 出 现 一 个 三 角 形 的 范 围, 重 新 点 击 第 1 个 顶 点, 从 而 形 成 一 个 封 闭 的 矩 形 区 域 这 时, 系 统 会 自 动 开 始 在 这 个 区 域 内 按 前 面 设 定 的 敷 铜 规 则 完 成 敷 铜 电 路 DRC 检 验 执 行 菜 单 命 令 Tools / Design Rule Check, 检 查 PCB 图 是 否 有 错 误 Messages 对 话 框 会 显 示 当 前 电 路 板 的 问 题, 如 果 有 问 题 根 据 提 示 修 改 注 意, 并 不 是 所 有 提 示 的 错 误 都 需 要 修 改, 有 些 不 影 响 正 确 性, 请 在 练 习 中 体 会 至 此, 本 例 的 单 片 机 试 验 板 电 路 板 就 完 成 了, 如 果 想 看 一 下 电 路 板 的 效 果 图, 可 执 行 菜 单 命 令 View / Board in 3D 139

140 第 3 章 高 级 实 例 四 层 板 的 概 念 层 次 原 理 图 FPGA 等 芯 片 介 绍 创 建 贴 片 式 元 件 的 PCB 封 装 原 件 集 成 库 的 建 立 对 系 统 自 带 的 库 文 件 进 行 修 改 SOP QUAD PLCC 封 装 自 动 布 局 的 详 细 介 绍 内 层 分 割 3.1 总 体 方 案 介 绍 本 例 介 绍 一 个 ARM7 试 验 板 的 设 计 核 心 处 理 器 采 用 三 星 公 司 的 S3C44B0, 外 加 一 个 步 进 电 机 控 制 电 路 和 一 个 CAN 总 线 通 信 电 路 3.2 层 次 原 理 图 设 计 层 次 原 理 图 也 称 为 多 张 原 理 图, 实 际 上 是 一 种 模 块 化 的 设 计 方 法 对 于 复 杂 庞 大 的 电 路 原 理 图, 不 可 能 把 它 画 在 一 张 图 纸 上, 也 不 可 能 一 个 人 完 成 通 常, 将 设 计 系 统 划 分 为 多 个 子 系 统, 子 系 统 下 面 又 可 划 分 为 若 干 功 能 模 块 这 样, 设 计 好 基 本 模 块, 定 义 好 模 块 间 的 连 接 关 系, 即 可 完 成 整 个 设 计 过 程 层 次 原 理 图 可 以 将 一 个 设 计 任 务 分 割 为 几 个 相 对 独 立 的 部 分, 并 同 时 进 行 设 计, 大 大 加 快 设 计 进 程 本 例 的 整 个 原 理 图 设 计 方 案 的 结 构 如 下 : 子 原 理 图 STEPMOTOR.schdoc 主 原 理 图 S3C44B0.schdoc 子 原 理 图 CAN.schdoc 其 中,S3C44B0.schdoc 作 为 根 图 纸, 是 该 设 计 方 案 的 纲 领 性 图 纸 其 它 3 个 图 纸 是 该 图 纸 的 子 图 纸 层 次 式 结 构 是 指 在 一 张 原 理 图 上 存 在 一 些 图 纸 符 号, 它 们 各 自 代 表 着 另 一 张 子 原 理 图 而 每 一 张 子 图 纸 还 可 以 有 自 己 的 子 图 纸 原 理 图 的 层 次 是 没 有 限 制 的 图 之 间 的 连 通 方 式 取 决 于 选 择 的 网 络 标 识 符 网 络 标 识 符 是 用 来 标 注 和 识 别 网 络 的 实 体, 从 而 实 现 原 理 图 上 网 络 之 间 的 电 气 连 接 使 用 网 络 标 识 符 可 以 不 用 导 线 就 将 两 个 电 气 连 接 点 直 接 连 接 起 来 只 需 给 两 个 电 气 连 接 点 140

141 赋 予 相 同 的 网 络 标 识 符, 就 可 以 在 原 理 图 之 间 或 者 原 理 图 内 部 建 立 同 一 网 络 间 的 电 气 连 接 网 络 标 识 符 包 括 网 络 名 称 端 口 图 纸 符 号 入 口 电 源 端 口 等 3.3 主 原 理 图 设 计 首 先 新 建 一 个 工 程 项 目 高 级 实 例.prjdoc 和 原 理 图 S3C44B0.schdoc 元 件 集 成 库 的 创 建 Protel DXP 自 带 库 中 的 电 阻 电 容 元 件 不 符 合 本 实 例 的 使 用 要 求 因 此 可 以 采 用 上 一 章 的 方 法, 分 别 绘 制 原 理 图 库 和 PCB 库, 然 后 使 用 全 局 修 改 功 能 来 指 定 它 们 的 PCB 封 装 也 可 以 直 接 建 立 自 己 的 元 件 集 成 库, 在 放 置 元 件 的 原 理 图 符 号 的 同 时, 就 确 定 了 它 的 PCB 封 装, 使 用 起 来 非 常 方 便 创 建 元 件 集 成 库 首 先 需 要 分 别 创 建 原 理 图 库 和 PCB 库 1 创 建 原 理 图 库 首 先 创 建 高 级 实 例 SchLib 原 理 图 库 文 件 (1) 在 Library Editor 面 板 的 元 件 列 表 栏 中, 选 择 系 统 自 动 创 建 的 Component 元 件, 然 后 单 击 下 方 的 按 钮, 弹 出 Component Property 对 话 框, 将 Designator 区 改 为 R?, Library Ref 区 改 为 Res, 表 示 电 阻 (2) 执 行 菜 单 命 令 Tools / Document Options, 在 弹 出 的 对 话 框 中 将 Grids 栏 中 的 Snap 和 Visible 数 值 设 定 为 3mil (3) 单 击 工 具 栏 中 的 放 置 线 条 按 钮, 画 图 3-1 所 示 的 折 线 注 意 : 默 认 状 态 下, 线 段 总 是 水 平 或 者 垂 直 的 需 要 45 折 线 时, 需 要 按 一 下 Shift + 空 格 键 图 3-1 绘 制 电 阻 的 原 理 图 符 号 (4) 添 加 电 阻 的 两 个 管 脚 点 击 工 具 栏 上 的 图 标, 按 下 Tab 键, 在 弹 出 的 Pine Properties 对 话 框 中 做 两 处 修 改 Display Name 设 为 空, 或 者 把 Visible 属 性 前 的 对 勾 去 掉 ; 将 Designator 设 为 不 可 视 点 击 OK 键, 回 到 绘 图 区 (5) 按 空 格 键, 调 整 管 脚 方 向, 将 带 有 叉 号 的 一 端 向 外 (6) 然 后 在 元 件 列 表 中 点 击 按 钮, 在 库 元 件 属 性 对 话 框 中 为 Res 元 件 添 加 数 值 显 示 在 Parameters for R?-Res 栏 中, 点 击 按 钮, 添 加 一 个 规 则 (7) 在 弹 出 的 Parameter Property 对 话 框 中, Name 栏 填 入 Value, Value 141

142 栏 填 入 100K 关 闭 对 话 框, 单 击 图 标, 保 存 原 理 图 库 文 件 完 成 的 电 阻 原 理 图 符 号 如 图 3-2 所 示 图 3-2 完 成 的 电 阻 原 理 图 符 号 下 面 添 加 一 种 电 容, 本 实 例 中 10uF 和 22uF 的 电 容 使 用 该 符 号 步 骤 如 下 : (1) 在 Library Editor 面 板 的 元 件 列 表 栏 中, 单 击 按 钮, 添 加 新 元 件 CAP1 (2) 在 绘 图 区 中, 点 击 鼠 标 右 键, 执 行 Document Options 命 令 在 弹 出 的 Library Editor Workspace 对 话 框 中, 设 置 Snap 项 为 5 (3) 点 击 工 具 栏 上 的 按 钮, 绘 制 如 图 3-3 所 示 的 图 形 横 线 长 20, 竖 线 长 5 图 3-3 上 半 部 分 (4) 然 后 点 击 工 具 栏 中 的 图 标, 绘 制 椭 圆 弧 线 (5) 添 加 两 个 管 脚 以 及 一 个 十 字 标 注 表 示 正 极 (6) 单 击 Library Editor 面 板 的 按 钮, 在 弹 出 的 对 话 框 中 设 置 Designator 为 C?, 并 在 Parameters for CAP1 栏 中, 添 加 元 件 的 Value 值, 设 为 10uF 最 后 元 件 CAP1 的 原 理 图 符 号 如 图 3-4 所 示 图 3-4 完 成 的 元 件 CAP1 142 下 面 添 加 一 个 LED 元 件, 因 为 它 的 原 理 图 符 号 和 自 带 库 中 的 LED 元 件 完 全 相 同, 所 有 通 过 下 面 的 方 法, 直 接 将 其 复 制 到 高 级 实 例.SCHLIB 库 中, 不 必 自 己 绘 制

143 (1) 点 击 Library Editor 面 板 的 按 钮, 添 加 新 元 件 LED (2) 点 击 工 具 栏 上 的 按 钮, 打 开 Miscellaneous Devices.IntLib 库 文 件 (3) 双 击 打 开 该 库 文 件 后, 系 统 弹 出 提 示 对 话 框, 询 问 是 否 将 集 成 库 打 开 单 击 键, 打 开 库 文 件 可 以 看 到 工 程 面 板 已 经 添 加 了 该 库 文 件 (4) 切 换 到 Miscellaneous Devices.IntLib 库 的 Library Editor 面 板, 在 元 件 列 表 中 选 择 LED0, 可 以 看 出 元 件 原 理 图 符 号 编 辑 区 如 图 3-5 所 示 图 3-5 Miscellaneous Devices.IntLib 库 的 元 件 列 表 (5) 光 标 选 中 完 整 的 原 理 图 符 号, 然 后 按 下 Ctrl + C 键 复 制 (6) 切 换 到 高 级 实 例.SCHLIB 中 的 LED 原 理 图 符 号 编 辑 区, 按 Ctrl + V 键 粘 贴 (7) 双 击 元 件 的 管 脚, 取 消 Designator 的 显 示 注 意 保 存 参 照 上 面 的 步 骤, 添 加 1 个 电 容 元 件 CAP2, 如 图 3-6 所 示 图 3-6 元 件 CAP2 143

144 2 创 建 高 级 实 例.PCBLIB PCB 元 件 库 (1) 在 PCB 库 的 元 件 列 表 中, 选 择 系 统 自 动 生 成 的 PCBCOMPONENT_1 元 件 单 击 下 方 的 按 钮, 在 弹 出 的 对 话 框 中 将 元 件 命 名 为 0603, 然 后 值 接 在 绘 图 区 域 中 绘 制 该 封 装 (2) 在 绘 图 区 单 击 右 键, 执 行 Library Options 菜 单 命 令 在 Board Options 对 话 框 中 做 如 下 修 改 : Unit 设 为 Metric ; Grid1 设 为 0.025mm ; Grid2 设 为 0.025mm 注 意 :0603 封 装, 就 是 长 1.6mm, 宽 0.8mm (3) 选 择 Top Layer 层, 在 该 层 绘 制 贴 片 电 阻 的 焊 盘 (4) 设 置 坐 标 原 点 注 意, 可 以 方 便 地 利 用 状 态 栏 确 定 尺 寸 位 置 等 信 息 执 行 菜 单 命 令 Edit / Set Reference / Location, 光 标 变 为 十 字, 选 择 适 当 的 位 置 点 击 鼠 标 左 键, 则 光 标 所 在 位 置 的 坐 标 变 为 (0,0) (5) 放 置 焊 盘 单 击 PCB 元 件 库 放 置 工 具 栏 中 的 按 钮, 光 标 变 为 十 字 形 状 按 <Tab> 键, 在 Pab ( 焊 盘 ) 对 话 框 中 做 如 下 修 改 Hole Size :0mm; Size and Shape 区 域 中 X-Size :0.6mm; Y-Size ;0.8mm; Shape :Rectangle (6) 在 (0,0) 处 点 击 鼠 标 左 键 放 置 焊 盘 (7) 坐 标 (1,0) 处 放 置 第 二 个 焊 盘 (8) 绘 制 元 件 外 框 选 择 Top Overlay 层, 单 击 工 具 栏 中 的 按 钮, 执 行 画 线 命 令 按 <Tab> 键, 在 弹 出 的 对 话 框 中, 将 线 宽 设 为 0.2mm (9) 在 焊 盘 的 边 界 0.4mm 处, 画 边 框 最 后 结 果 如 图 3-7 所 示 图 3-7 完 成 的 0603 封 装 (10) 保 存 库 文 件, 完 成 0603 封 装 的 创 建 接 下 来 采 用 本 节 添 加 LED 用 到 的 方 法, 直 接 复 制 第 2 章 中 创 建 了 的 RB.1/.2 封 装 到 高 级 实 例.PCBLIB 库 文 件 中 原 理 图 库 和 PCB 库 中 的 元 件, 暂 时 只 创 建 这 么 多, 下 面 生 成 元 件 集 成 库 3 创 建 元 件 集 成 库 我 们 希 望 调 用 器 件 时 可 以 象 使 用 Protel DXP 本 身 所 集 成 库 一 样, 同 时 调 用 原 理 图 符 号 和 PCB 封 装 下 面 就 建 立 自 己 的 集 成 库, 将 本 实 例 建 立 的 元 件 的 各 种 信 息 放 在 这 个 库 中 (1) 执 行 菜 单 命 令 File / New / Integrated Library, 或 者 右 键 点 击 Paoject, 在 弹 出 的 菜 单 中 选 择 新 建 集 成 库 执 行 命 令 后, 可 以 看 到 在 Projects ( 工 程 ) 面 板 中 出 现 一 个 名 为 Integrated Library1.LibPkg 文 件 系 统 同 时 会 在 该 目 录 下 生 成 No Source Libraries Added 文 件 夹, 存 放 以 后 生 成 的 IntLib 文 件 集 成 库 文 件 的 扩 展 名 是 IntLib, 这 里 却 是 LibPkg, 此 为 集 成 库 文 件 包 下 面 经 144

145 过 特 定 操 作, 便 可 以 生 成 IntLib 的 集 成 库 文 件 供 画 图 时 使 用 (2) 在 Integrated Library1.LibPkg 点 击 右 键, 执 行 命 令 Save Project As 保 存 集 成 库 文 件 包 为 高 级 实 例.LibPkg, Project 面 板 中 的 Integrated Library1.LibPkg 变 为 高 级 实 例.LibPKG (3) 直 接 在 Project 面 板 中, 将 高 级 实 例.SCHLIB 和 高 级 实 例.PCBLIB 两 个 文 件 拖 入 到 高 级 实 例.LibPkg 里 (4) 双 击 高 级 实 例.SchLib, 打 开 原 理 图 文 件, 切 换 到 Library Editor 面 板 下, 如 图 3-8 所 示 图 3-8 Library Editor 面 板 在 元 器 件 列 表 框 中 选 中 要 编 辑 的 元 器 件 CAP1, 单 击 Model 栏 中 的 按 钮, 添 加 PCB 封 装 (5) 系 统 弹 出 模 型 类 型 选 择 对 话 框, 如 图 3-9 所 示 选 择 Footprint 145

146 图 3-9 Add New Model 对 话 框 (6) 然 后 系 统 弹 出 选 择 PCB 封 装 对 话 框 (7) 单 击 对 话 框 中 的 按 钮, 弹 出 PCB 库 浏 览 对 话 框 在 该 对 话 框 中 选 择 高 级 实 例.PCBLIB 库 的 RB.1/.2 PCB 封 装 (8) 同 样, 指 定 LED 的 封 装 为 RB.1/.2, CAP2 和 Res 的 封 装 为 0603 (9) 编 辑 集 成 库 文 件 执 行 菜 单 命 令 Project / Compile Integrated Library 注 意 : 要 想 编 译 集 成 库, 必 须 保 证 当 前 编 辑 页 面 补 是 集 成 库 所 在 工 程 中 的 原 理 图 PCB 图 否 则 Project 菜 单 下 没 有 Compile Integrated Library 项 (10) 完 成 编 译 后, 系 统 自 动 弹 出 Libraries 面 板 在 其 中, 可 以 看 到 高 级 实 例 IntLib 集 成 库 中 元 件 的 原 理 图 符 号 和 PCB 封 装 这 样, 当 绘 制 原 理 图 调 用 该 元 件 时, 也 同 时 调 用 PCB 封 装, 使 用 起 来 非 常 方 便 S3C44B0 核 心 板 的 原 理 图 设 计 S3C44B0 是 三 星 公 司 的 一 款 带 有 ARM7 核 的 芯 片, 采 用 160 脚 的 QFP 封 装 S3C44B0 核 心 板 包 括 电 源 S3C44B0 芯 片 复 位 电 路 晶 振 电 路 等 1 S3C44B0 原 理 图 库 建 立 Protel DXP 自 带 库 中 没 有 S3C44B0 的 原 理 图, 所 以 需 要 按 照 第 2 章 的 方 式 建 立 S3C44B0 的 原 理 图 库 注 意, 在 第 1 管 脚 和 160 管 脚 之 间 加 一 个 圆, 表 示 起 始 管 脚 建 立 好 的 原 理 图 如 图 3-10 所 示 各 引 脚 说 明 如 下 表 : 引 脚 说 明 引 脚 说 明 引 脚 说 明 1~4 ADDR3~ADDR0 49 CLKOUY 93 VLINE 5~6 CAS0~CAS1 50 RESET 94 VCLK 7 SCAS 51~54 OM0~OM3 95~98 VD3~VD0 8 SRAS 55 CODECLK 99 RXD0 9 VDDIO 56 SIOCLK 100 TXD0 10 VSSIO 57 SIORXD 101~102 GPC15~GPC14 11~14 DQM0~DQM4 58 SIORDY 103 RXD1 15 OE 59 SIOTXD 104 TXD1 146

147 16 WE 60 IICSDA 105 CTS1 17~20 GCS0~GCS3 61 IICSCL 106 RTS1 21 VDD 62 VDD 107 XDREQ1 22 VSS 63 VSS 108 XDACK1 23~24 GCS4~GCS5 64 XTAL0 109 VDD 25~26 SCS0~ SCS1 65 EXTAL0 110 VSS 27 SCKE 66 PLLCAP 111~114 VD4~VD7 28 SCLK 67 EXTCLK 115 IISCLK 29 WAIT 68~72 TOUT0~TOUT4 116 IISDI 30 XDREQ0 73 VSSIO 117 IISDO 31 SDACK0 74 VSSADC 118 IISLRCK 32~33 EXINT0~EXINT1 75~82 AIN0~AIN7 119~124 DATA15~DATA10 34 VDD 83 AREFT 125 VDDIO 35 VSS 84 AREFB 126 VSSIO 36~41 EXINT2~EXINT7 85 AVCOM 127~136 DATA9~DATA0 42 TRST 86 VDDADC 137 GPA9 43 TCK 87 XTAL1 138 VDD 44 TMS 88 EXTAL1 139 VSS 45 TDI 89 VDDRTC 140~151 ADDR23~ADDR12 46 TDO 90 VSSIO 152 VSSIO 47 VDDIO 91 VFRAME 153~160 ADDR11~ADDR4 48 VSSIO 92 VM 147

148 图 3-10 S3C44B0 原 理 图 2 电 源 电 路 ARM 供 电 电 路 设 计 是 系 统 设 计 的 一 个 重 要 组 成 部 分 一 般 ARM 会 要 求 有 独 立 的 内 核 电 源 和 I/O 电 源, 本 实 例 中,S3C44B0 的 内 核 电 压 是 2.5,I/O 电 压 是 3.3V 由 于 S3C44B0 在 系 统 中 承 担 大 量 的 实 时 数 据 计 算, 其 内 部 频 繁 的 部 件 开 关 转 换 会 使 系 统 功 耗 大 大 增 加, 所 以 降 低 其 内 部 供 电 的 核 心 电 压, 无 疑 是 降 低 系 统 功 耗 的 最 有 效 的 办 法 之 一 电 源 设 计 采 用 5 V 输 入 主 板, 经 电 压 稳 压, 提 供 I/O 端 口 需 要 的 电 压 3.3V, 在 核 心 板 上 采 用 稳 压 块 供 CPU 内 核 电 压 2.5V 或 1.8V 电 源 电 路 如 图 3-11 和 3-12 所 示 REG1117 芯 片 是 一 款 稳 压 芯 片, 输 出 有 5V 3.3V 3V 和 2.8V 等 级 别, 最 大 过 1A 电 流, 可 通 过 搜 索 方 式 找 到 该 元 件, 贴 片 封 装 注 意 : 图 中 的 电 阻 电 容 二 极 管 都 是 自 建 的 集 成 库 高 级 实 例.SchLib 中 的 元 件 RT CB 是 另 外 一 款 2.5V 的 稳 压 芯 片,RT9167 系 列 稳 压 器 输 出 电 压 范 围 很 广, 从 1.5V 到 5V 范 围 内 每 隔 0.1V 就 有 一 款 稳 压 芯 片 该 芯 片 在 Protel DXP 的 自 带 库 中 没 有, 需 要 自 行 建 立 其 原 理 图 库 及 PCB 库 原 理 图 库 参 照 图 3-12 所 示 去 建 立, 也 存 放 在 高 级 实 例.SchLib 中 下 面 简 述 其 PCB 库 的 建 立 过 程 148

149 图 V 电 源 电 路 图 V 电 源 电 路 (1) 打 开 高 级 实 例.PCBLIB 库 文 件, 单 击 按 钮, 执 行 Component Wizard 命 令 ( 元 件 封 装 生 成 向 导 ) (2) 弹 出 元 件 PCB 封 装 向 导 对 话 框 单 击 <Next>, 弹 出 的 对 话 框 用 于 设 定 元 件 的 封 装 类 型 在 对 话 框 中 一 共 罗 列 了 12 种 标 准 封 装 类 型, 选 择 Small Outline Package(SOP) 封 装 对 话 框 中 的 Select a unit 中 的 长 度 单 位, 选 择 Metric(mm) (3) 单 击 <Next> 按 钮 进 入 如 图 3-13 所 示 的 对 话 框, 设 定 焊 盘 尺 寸 执 行 尺 寸 直 观 地 标 注 在 示 意 图 上, 只 需 要 将 鼠 标 移 至 相 应 的 尺 寸 上, 单 击 就 能 重 新 设 定 焊 盘 尺 寸 焊 盘 的 宽 度 设 为 0.45mm, 长 度 为 1mm 149

150 图 3-13 设 置 焊 盘 尺 寸 (4) 下 一 步 设 置 元 件 管 脚 的 相 对 位 置 与 间 距 根 据 元 件 说 明 书 上 的 封 装, 分 别 取 相 邻 管 脚 间 距 为 0.92mm, 列 间 距 为 2.2mm, 如 图 3-14 所 示 图 3-14 设 置 引 脚 间 距 150

151 (5) 单 击 <Next>, 设 定 元 件 边 框 线 宽 保 持 缺 省 值 0.2mm 不 变 (6) 接 下 来 的 对 话 框 是 设 定 管 脚 数 目 单 击 文 本 框 右 边 的 按 钮 就 能 改 变 管 脚 数 目, 设 为 6 (7) 设 定 元 件 名 称 将 元 件 名 称 设 为 RT CB (8) 所 有 设 定 工 作 元 件 完 成, 进 入 向 导 结 束 对 话 框, 单 击 <Finish> 确 认 所 有 设 置, 系 统 自 动 生 成 了 PCB 文 件 由 于 RT CB 芯 片 只 有 5 个 管 脚, 所 以 去 掉 ( 选 中 该 管 脚, 点 击 键 盘 的 Delete 键 ) 右 侧 中 间 的 5 号 管 脚, 并 将 6 号 管 脚 改 为 5 号, 即 完 成 了 PCB 库 的 建 立, 如 图 3-15 所 示 图 3-15 完 成 的 RT CB 封 装 下 面 将 该 芯 片 的 PCB 封 装 指 定 为 此 封 装 : 在 高 级 实 例.SCHLIB 库 Library Editor 面 板 中 的 Model 栏, 点 击 按 钮, 添 加 Footprint 封 装 然 后 参 照 节 讲 述 的 方 法, 选 择 元 件 的 PCB 封 装 3 复 位 电 路 复 位 电 路 用 来 在 ARM 工 作 不 正 常 时 发 出 复 位 信 号 为 了 提 供 性 能 优 越 的 电 源 监 视 性 能, 这 里 选 用 专 门 的 系 统 监 视 复 位 芯 片 MAX811, 该 芯 片 性 能 优 良, 可 通 过 手 动 控 制 系 统 复 位, 还 可 实 时 监 视 系 统 的 电 源, 一 旦 系 统 的 电 源 低 于 系 统 复 位 的 阈 值 (2.9V),MAX811 将 会 其 作 用, 对 系 统 进 行 复 位 先 选 择 Miscellaneose Devices.IntLib 库 中 的 SW-DPST ( 按 钮 ) 元 件, 然 后 在 单 击 实 例.IntLib 库 中 选 择 电 阻 在 库 中 搜 索 MAX811 芯 片, 最 后 用 导 线 连 接 线 路, 添 加 接 地 电 源 和 网 络 标 识, 构 成 整 个 复 位 电 路, 如 图 3-16 所 示 图 3-16 复 位 电 路 4 系 统 时 钟 电 路 晶 振 电 路 设 计 是 电 路 应 用 设 计 中 的 重 要 环 节 之 一, 因 此 有 必 要 了 解 晶 振 电 路 的 特 点 151

152 组 成 以 及 如 何 选 用 相 关 电 子 元 件 晶 振 的 英 文 是 Cryatal, 也 叫 石 英 晶 体 振 动 器, 简 称 晶 振 对 于 一 个 高 可 靠 行 性 的 系 统 设 计 来 说, 晶 体 的 选 择 非 常 重 要 晶 体 的 选 择 至 少 必 须 考 虑 谐 振 频 点 负 载 电 容 激 励 功 率 温 度 特 性 长 期 稳 定 性 晶 振 根 据 不 同 的 使 用 要 求 及 特 点, 通 常 分 为 以 下 几 类 : 普 通 晶 振 温 补 晶 振 压 控 晶 振 温 控 晶 振 等 安 装 晶 振 时, 应 根 据 其 引 脚 功 能 标 识 与 相 应 电 路 相 连 接, 避 免 电 源 引 线 与 输 出 管 脚 相 接 (1) 普 通 晶 振 PXO: 一 种 没 有 采 取 温 度 补 措 施 的 晶 体 振 动 器 在 整 个 温 度 范 围 内, 晶 振 的 频 率 稳 定 取 决 于 其 内 部 所 用 晶 体 的 性 能 一 般 用 于 普 通 场 所 作 为 本 振 源 或 中 间 信 号, 是 晶 振 中 最 廉 价 的 产 品 (2) 温 补 晶 振 TCXO: 在 晶 振 内 部 采 取 了 补 偿 晶 体 频 率 温 度 特 性 的 措 施, 以 达 到 在 比 较 大 的 范 围 内 满 足 稳 定 度 要 求 的 晶 体 振 动 器 一 般 模 拟 式 温 补 晶 振 采 用 热 敏 补 偿 网 络 由 于 良 好 的 开 机 特 性 优 越 的 性 能 价 格 比 功 耗 低 体 积 小 环 境 适 应 性 较 强 等 多 方 面 优 点, 温 补 晶 振 获 得 了 广 泛 应 用 (3) 压 控 晶 振 VCXO: 一 种 可 通 过 调 整 外 加 电 压 使 晶 振 输 出 频 率 随 之 改 变 的 晶 体 振 动 器, 主 要 用 于 锁 相 环 路 或 频 率 微 调 压 控 晶 振 的 频 率 控 制 范 围 及 线 性 度 主 要 取 决 于 电 路 所 用 的 变 容 二 极 管 及 晶 体 参 数 两 者 的 组 合 (4) 恒 温 晶 振 OCXO: 采 用 精 密 控 温, 使 电 路 元 件 及 晶 体 工 作 在 晶 体 的 零 温 度 系 数 点 上 的 晶 体 振 动 器 主 要 用 作 频 率 源 或 标 准 信 号 应 选 用 优 质 的 晶 振 来 保 证 高 精 度 的 时 钟 频 率 并 且 在 线 路 的 设 计 上 应 使 晶 振 尽 可 能 接 近 主 芯 片, 以 缩 短 信 号 线 的 长 度, 增 加 传 输 的 稳 定 性 一 般 四 管 脚 的 卧 式 有 源 晶 振 的 工 作 电 压 是 3.3V 本 例 中 系 统 时 钟 源 直 接 采 用 外 部 晶 振, 内 部 PLL 电 路, 可 以 调 整 系 统 时 钟, 使 系 统 运 行 速 度 更 快 下 面 绘 制 电 路 板 上 的 晶 振 电 路 (1) 在 高 级 实 例.IntLib 库 文 件 中, 参 照 前 述 方 法 添 加 新 元 件 XTAL_OSC 晶 振 原 理 图 符 号 如 图 3-17 所 示 图 3-17 四 脚 晶 振 原 理 图 (2) 手 工 绘 制 晶 振 的 PCB 封 装 首 先 设 定 位 置 原 点 焊 盘 设 置 中, 将 焊 盘 1 的 形 状 设 为 Round, 它 的 属 性 对 话 框 如 图 3-18 所 示, 注 意 焊 盘 在 Top Layer 层 其 他 3 个 焊 盘 设 为 Rectangle (3) 焊 盘 4 的 坐 标 为 (0,0), 焊 盘 2 位 于 (190, 180) 其 他 两 个 焊 盘 位 置 与 之 对 称 最 后, 晶 振 的 PCB 封 装 如 图 3-19 所 示 (4) 按 前 面 节 讲 述 的 方 法, 指 定 晶 振 封 装, 编 译 集 成 库 (5) 将 元 件 拖 入 原 理 图 中, 完 成 晶 振 部 分 的 原 理 图 设 计, 如 图 3-20 上 部 分 所 示 (6) 为 实 时 时 钟 (RTC) 绘 制 晶 振 电 路,RTC 需 要 KHz 频 率 的 时 钟 输 入, 晶 152

153 振 电 路 如 图 3-20 下 部 分 所 示 图 3-18 焊 盘 1 的 属 性 对 话 框 图 3-19 晶 振 的 PCB 封 装 153

154 图 3-20 ARM 晶 振 电 路 5 设 置 S3C44B0 的 电 源 管 脚 接 地 管 脚 时 钟 管 脚 和 复 位 管 脚 (1) 将 S3C44B0 所 有 的 VSS VSSIO VSSADC 管 脚 接 地 ; 将 所 有 的 VDDIO VDDADC 管 脚 接 VDD33, 供 3.3V 电 源 ; 将 所 有 的 VDD 管 脚 接 VDD25, 供 2.5V 电 源 (2) 将 脚 添 加 网 络 标 识 XTAL1 和 EXTAL1, 与 RTC 的 时 钟 (32.767KHz) 相 连 ; 将 64 脚 添 加 网 络 标 识 XTAL0, 与 晶 振 电 路 相 连 (3) 将 50 引 脚 添 加 网 络 标 识 RESET, 与 复 位 电 路 相 连 (4) 最 后, 要 加 上 一 些 去 耦 电 容 并 联 在 电 源 和 地 之 间, 目 的 是 增 强 电 路 的 电 磁 兼 容 性 能 EMC, 提 高 电 源 抗 干 扰 性, 如 图 3-21 所 示 当 数 字 电 路 受 到 跳 变 电 流 作 用 时, 也 将 产 生 阻 抗 噪 声, 解 决 问 题 的 有 效 措 施 是 设 置 合 适 的 去 耦 电 容 去 耦 电 容 的 一 般 配 制 原 则 是 : 1) 电 源 输 入 端 跨 接 10~100uF 的 电 解 电 容 如 有 可 能,100µF 以 上 的 更 好 2) 原 则 上 每 个 集 成 电 路 芯 片 都 应 布 置 一 个 0.01uF 的 瓷 片 电 容 如 遇 印 制 板 空 隙 不 够, 可 每 4~8 个 芯 片 布 置 一 个 1~10uF 的 钽 电 容 3) 对 于 抗 噪 能 力 弱 关 断 时 电 源 变 化 大 的 器 件, 如 RAM ROM 存 储 器 件, 应 在 芯 片 的 电 源 线 的 地 线 之 间 接 入 去 耦 电 容 4) 电 容 引 线 不 能 太 长, 尤 其 是 高 频 旁 路 电 容 不 能 有 引 线 5) 在 印 制 板 中 有 接 触 器 继 电 器 按 钮 等 元 器 件 时, 操 作 它 们 均 会 产 生 较 大 火 花 放 电, 必 须 采 用 RC 电 路 来 吸 收 放 电 电 流 一 般 R 取 1~2KΩ,C 取 2.2~4.7µF 6)CMOS 的 输 入 阻 抗 很 高 且 易 受 感 应, 因 此 在 使 用 时 不 用 的 管 脚 要 接 地 或 接 正 电 源 7) 使 用 逻 辑 电 路 的 建 议 : 凡 能 不 用 高 速 逻 辑 电 路 的 就 不 用 ; 在 电 源 与 地 之 间 加 去 耦 电 容 ; 注 意 长 线 传 输 中 的 波 形 畸 变 ; 用 R-S 触 发 器 作 按 钮 与 电 子 线 路 之 间 配 合 的 缓 冲 电 路 图 3-21 去 耦 电 容 原 理 图 上, 一 般 将 去 耦 电 容 绘 制 在 一 起 但 在 实 际 的 PCB 上, 这 些 去 耦 电 容 并 不 是 如 此 规 则 靠 近 地 并 联 在 一 起, 而 是 放 置 在 ARM 的 各 个 电 源 管 脚 附 近 154

155 注 意 : 这 里 的 0.01µF 的 电 容, 是 高 级 实 例.IntLib 库 中 的 电 容 CAP2 6 子 图 标 识 的 绘 制 下 面 的 设 计, 就 将 用 到 本 章 3.2 节 所 讲 述 的 层 次 原 理 图 设 计 在 主 原 理 图 S3C44B0.SchDoc 中 绘 制 3 个 子 图 标 识, 从 而 展 开 三 个 子 图 (1) 确 认 在 S3C44B0.SchDoc 界 面, 点 击 工 具 栏 中 的 按 钮, 绘 制 子 图 标 识 (2) 将 鼠 标 移 至 原 理 图 的 绘 图 区 上, 这 时 光 标 变 为 十 字 形 状, 十 字 的 右 下 角 有 一 个 虚 线 子 图 标 志 识 子 图 标 识 会 随 着 光 标 的 移 动 而 移 动 (3) 单 击 鼠 标 左 键, 确 定 子 图 标 识 的 左 上 角 顶 点 随 后 在 适 当 位 置 再 次 单 击 鼠 标 左 键, 即 可 确 定 子 图 标 识 的 右 下 角 顶 点 (4) 鼠 标 双 击 子 图 标 识, 弹 出 如 图 3-22 所 示 的 Sheet Symbol 对 话 框 图 3-22 Sheet Symbol 对 话 框 1 Location X Locatio Y : 确 定 方 块 电 路 符 号 的 位 置 ; 2 X-Size Y-Size : 精 确 确 定 方 块 电 路 符 号 的 位 置 ; 3 Border Color : 边 界 颜 色 ; 4 Border Solid : 边 界 宽 度 ; 5 Draw Solid : 是 否 填 实 ; 6 Fill Color : 填 充 颜 色 ; 155

156 7 Filename : 该 栏 中 需 要 填 写 该 方 块 电 路 符 号 所 代 表 的 子 原 理 图 的 文 件 名, 输 入 STEPMOTOR.schdoc ; 8 Designator : 用 于 填 写 该 方 块 电 路 的 标 志, 输 入 STEPMOTOR ; 9 Unique ID : 系 统 的 区 别 码, 一 般 不 用 修 改 修 改 名 称 后 的 子 图 标 识 如 图 3-23 所 示 图 3-23 修 改 名 称 后 的 子 图 标 识 (5) 可 以 对 子 图 符 号 的 文 字 标 注 大 小 颜 色 ( 改 为 白 色 ) 以 及 文 字 角 度 进 行 修 改 (6) 单 击 布 线 工 具 栏 中 的, 执 行 放 置 子 图 电 路 端 口 的 命 令 这 时 鼠 标 光 标 变 为 十 字 形 状 (7) 将 光 标 移 入 子 图 标 识 中, 单 击 鼠 标 左 键, 十 字 光 标 将 叠 加 一 个 子 图 电 路 端 口, 该 端 口 会 随 着 光 标 的 移 动 在 子 图 符 号 的 边 缘 移 动 (8) 在 此 状 态 下 按 <TAB> 键, 弹 出 子 图 端 口 属 性 设 置 对 话 框, 如 图 3-24 所 示 156 图 3-24 子 图 端 口 属 性 对 话 框 1 Name : 代 表 了 子 图 电 路 端 口 的 名 称, 在 此 将 其 改 为 STEP-INT ;

157 2 I/O Type : 子 图 电 路 端 口 的 输 入 / 输 出 类 型, 单 击 该 项 右 侧 的 下 拉 按 钮, 在 下 拉 列 表 中 有 Unspecified Type ( 不 指 定 ) Output Type ( 输 出 类 型 ) Input Type ( 输 入 类 型 ) Bidirection Type ( 双 向 类 型 ) 本 例 选 择 为 双 向 类 型 ; 3 Fill Color : 设 置 端 口 符 号 的 填 充 颜 色 ; 4 Text Color : 设 置 文 字 颜 色 ; 5 Border Color : 设 置 端 口 边 框 的 颜 色 ; 6 Side : 设 定 端 口 在 子 图 电 路 中 的 放 置 位 置 ; 7 Style : 设 置 端 口 符 号 的 外 观 样 式, 这 里 就 取 其 默 认 的 样 式 (9) 确 认 设 置 下 一 个 端 口 符 号 会 自 动 附 着 在 光 标 上, 并 且 序 号 自 动 加 1. (10) 重 复 上 面 的 操 作 步 骤, 添 加 完 毕 所 有 的 端 口 图 3-25 完 成 的 子 图 STEPMOTOR 符 号 (11) 然 后, 为 管 脚 连 接 导 线, 注 明 网 络 名 称 同 时 也 在 S3C44B0 的 相 应 管 脚 处 引 出 导 线, 标 注 网 络 名, 其 中,STEP-INT 与 S3C44B0 的 39 脚 相 连,PWM 与 68 脚 相 连 如 图 3-25 所 示 同 样, 建 立 其 另 外 一 个 子 图 标 识, 如 图 3-26 所 示 并 为 管 脚 连 接 导 线, 注 明 网 络 名 图 3-26 CAN 总 线 接 口 子 图 标 识 称 同 时 也 在 S3C44B0 的 相 应 管 脚 处 引 出 导 线, 标 注 网 络 名, 其 中 AD0~AD7 与 S3C44B0 的 136~129 脚 相 连,CAN-ALE 与 13 脚 相 连,CAN-CS 与 24 脚 相 连,CAN-OE 与 15 脚 相 157

158 连,CAN-WE 与 16 脚 相 连,CAN-INT 与 40 脚 相 连,RESET1 与 38 脚 相 连 这 样 就 完 成 了 整 个 S3C44B0.Schdoc 原 理 图 的 设 计 3.4 子 原 理 图 设 计 下 面 开 始 进 行 2 个 子 原 理 图 的 绘 制 执 行 菜 单 命 令 Design / Create Sheet From Symbol, 光 标 变 为 十 字 形, 将 其 移 到 子 图 标 识 STEPMOTOR 上 单 击 鼠 标 左 键, 系 统 自 动 弹 出 是 否 转 换 输 入 / 输 出 方 向 的 确 认 对 话 框 单 击 按 钮,Protel DXP 自 动 生 成 一 个 与 子 图 电 路 的 Filename 同 名 的 原 理 图 文 件 STEPMOTOR.SchDoc 这 个 新 的 原 理 图 已 经 布 好 了 与 子 图 电 路 相 对 应 的 输 / 输 出 端 口, 并 且 有 着 相 同 的 名 称 和 对 应 的 输 入 / 输 出 方 向 注 意 保 存 接 下 来 就 是 在 系 统 自 动 生 成 的 子 原 理 图 中 添 加 元 件, 完 成 电 路 绘 制 STEPMOTOR.schdoc 子 原 理 图 本 例 中 步 进 电 机 驱 动 电 路 采 用 TA8435 芯 片 TA8435 是 东 芝 公 司 生 产 的 单 片 正 弦 细 分 二 相 步 进 电 机 驱 动 专 用 芯 片, 该 芯 片 具 有 以 下 特 点 : 1) 工 作 电 压 范 围 宽 (10-40V); 2) 输 出 电 流 可 达 1.5A( 平 均 ) 和 2.5A( 峰 值 ); 3) 具 有 整 步 半 步 1/4 细 分 1/8 细 分 运 行 方 式 可 供 选 择 ; 4) 采 用 脉 宽 调 试 式 斩 波 驱 动 方 式 ; 5) 具 有 正 / 反 转 控 制 功 能 ; 6) 带 有 复 位 和 使 能 引 脚 ; 7) 可 选 择 使 用 单 时 钟 输 入 或 双 时 钟 输 入 TA8435 芯 片 共 有 25 个 引 脚, 采 用 ZIP 封 装, 其 引 脚 如 图 3-27 所 示 图 3-27 TA8435 引 脚 图 Protel DXP 自 带 库 中 没 有 该 芯 片 的 原 理 图 及 PCB 封 装, 所 以 需 要 自 己 建 立 其 原 理 图 库 及 PCB 库 为 方 便 绘 制 步 进 电 机 电 路 图,TA8435 的 原 理 图 在 绘 制 时 引 脚 可 不 按 顺 序 排 列, 而 是 按 功 能 排 列, 这 样 容 易 走 线 158

159 1 建 立 原 理 图 库 采 用 以 前 讲 述 的 方 法 在 高 级 实 例.SchLib 中 添 加 并 绘 制 TA8435 的 原 理 图, 如 图 3-28 所 示 2 添 加 TA8435 封 装 图 3-28 TA8435 的 原 理 图 TA8435 采 用 25 脚 ZIP 封 装, 在 建 立 其 PCB 库 时 可 选 择 DIP 类 型, 并 设 成 26 脚, 最 后 去 掉 一 个 管 脚 即 可 步 骤 如 下 : (1) 选 择 高 级 实 例.PcbLib 文 件, 打 开 PCB Library 面 板, 单 击 按 钮, 弹 出 PCB 库 设 计 向 导 Component Wizard (2) 单 击 Next 按 钮, 在 出 现 的 对 话 框 中 选 择 DIP 类 型, 并 选 择 公 制 Metric 如 图 3-29 所 示 159

160 图 3-29 设 置 封 装 类 型 (3) 单 击 Next 按 钮, 设 置 焊 盘 尺 寸, 将 焊 盘 孔 设 为 1.2mm, 焊 盘 长 设 为 2mm, 宽 设 为 1.8mm 如 图 3-30 所 示 所 示 图 3-30 设 置 焊 盘 尺 寸 (4) 单 击 Next 按 钮, 将 焊 盘 纵 向 间 距 设 为 2.54mm, 横 向 间 距 设 为 2mm 如 图 3-31 图 3-31 设 置 焊 盘 间 距 (5) 单 击 Next 按 钮, 取 默 认 值 (6) 单 击 Next 按 钮, 将 含 盘 数 设 为 26 (7) 单 击 Next 按 钮, 将 该 PCB 封 装 命 名 为 TA8435 (8) 单 击 Next 按 钮,PCB 向 导 完 成, 单 击 Finish 按 钮 结 束 生 成 的 PCB 封 装 如 图 3-32 所 示 ( 图 中 将 焊 盘 旋 转 成 了 横 向 ) 160

161 图 3-32 生 成 的 PCB 封 装 从 图 3-32 中 可 以 看 出, 向 导 生 成 的 PCB 封 装 与 我 们 需 要 的 并 不 一 致, 所 以 需 要 手 工 调 整 (1) 由 于 TA8435 只 有 15 个 引 脚, 所 以 删 掉 图 3-32 中 的 第 26 号 管 脚 (2)TA8435 采 用 左 13 脚 右 12 脚 的 间 隔 封 装, 所 以 选 中 第 14~25 脚, 将 其 向 上 拖 移 1.27mm( 注 意 观 察 屏 幕 左 下 方 的 状 态 栏 中 Y 坐 标 的 变 化, 保 持 X 坐 标 不 变 ) (3) 双 击 各 引 脚 焊 盘 更 改 引 脚 号, 使 其 左 侧 从 上 到 下 为 , 右 侧 从 上 到 下 为 (4) 删 掉 原 有 的 黄 色 轮 廓 线, 自 行 绘 制 其 轮 廓 ( 注 意 切 换 到 Top Overlay 层 ), 上 下 轮 廓 与 焊 盘 间 隙 均 为 4mm, 左 右 与 焊 盘 间 隙 各 为 2mm 完 成 的 PCB 封 装 如 图 3-33 所 示 图 3-33 完 成 的 TA8435 的 PCB 封 装 按 照 以 前 的 方 式, 为 TA8435 的 原 理 图 符 号 指 定 其 封 装, 这 样 自 建 的 集 成 库 中 就 有 了 TA8435 元 件 及 其 封 装 3 绘 制 步 进 电 机 电 路 图 161

162 图 3-34 步 进 电 机 驱 动 原 理 图 从 高 级 实 例.SchLib 中 选 出 合 适 数 量 的 电 阻 电 容 以 及 刚 建 立 好 的 TA8435, 并 从 Protel DXP 自 带 的 器 件 库 中 选 出 按 键 和 二 极 管, 从 Protel DXP 自 带 的 接 插 件 库 中 选 出 两 个 2 针 的 接 插 件, 绘 制 如 图 3-34 所 示 的 步 进 电 机 驱 动 原 理 图 两 个 接 插 件 引 出 4 条 线 路, 用 来 连 接 步 进 电 机 的 4 个 接 线 端 子 CAN 总 线 接 口 子 原 理 图 绘 制 本 例 中 CAN 总 线 控 制 器 选 用 SJA1000 芯 片, 并 配 有 TJA1040 总 线 收 发 器 SJA1000 是 一 种 独 立 控 制 器, 用 于 移 动 目 标 和 一 般 工 业 环 境 中 的 区 域 网 络 控 制 SJA1000 有 DIP28 和 SO28 两 种 封 装, 本 例 选 用 SO28 封 装 Protel DXP 自 带 库 中 没 有 SJA1000 和 TJA1040 的 原 理 图 及 引 脚 封 装, 所 以 需 要 自 行 建 立 1 SJA1000 原 理 图 库 建 立 按 照 以 前 的 方 式 在 高 级 实 例.SchLib 中 添 加 并 绘 制 SJA1000 的 原 理 图 符 号 为 了 连 线 方 便, 引 脚 没 有 按 顺 序 排 列, 而 是 按 功 能 排 列 建 立 好 的 原 理 图 符 号 如 图 3-35 所 示 162

163 图 3-35 SJA1000 原 理 图 符 号 2 TJA1040 原 理 图 库 建 立 在 高 级 实 例.SchLib 中 添 加 并 绘 制 TJA1040 的 原 理 图 符 号 建 立 好 的 原 理 图 符 号 如 图 3-36 所 示 3 SJA1000 的 PCB 库 建 立 图 3-35 TJA1040 原 理 图 符 号 SJA1000 采 用 SO28 封 装, 可 以 根 据 手 册 中 的 引 脚 参 数 来 建 立 其 PCB 库 (1) 选 择 高 级 实 例.PcbLib 文 件, 打 开 PCB Library 面 板, 单 击 按 钮, 弹 出 PCB 库 设 计 向 导 Component Wizard (2) 单 击 Next 按 钮, 在 出 现 的 对 话 框 中 选 择 SOP 类 型, 并 选 择 公 制 Metric 如 图 3-36 所 示 163

164 所 示 图 3-36 设 置 封 装 类 型 (3) 单 击 Next 按 钮, 设 置 焊 盘 尺 寸, 焊 盘 长 设 为 1.4mm, 宽 设 为 0.6mm 如 图 3-37 图 3-37 设 置 焊 盘 尺 寸 164

165 所 示 (4) 单 击 Next 按 钮, 将 焊 盘 纵 向 间 距 设 为 1.27mm, 横 向 间 距 设 为 9.6mm 如 图 3-38 图 3-38 设 置 焊 盘 间 距 (5) 单 击 Next 按 钮, 取 默 认 值 (6) 单 击 Next 按 钮, 将 焊 盘 数 设 为 28 (7) 单 击 Next 按 钮, 将 该 PCB 封 装 命 名 为 SJA1000 (8) 单 击 Next 按 钮,PCB 向 导 完 成, 单 击 Finish 按 钮 结 束 生 成 的 PCB 封 装 如 图 3-39 所 示 图 3-39 生 成 的 SJA1000 芯 片 的 PCB 封 装 按 照 以 前 的 方 式, 为 SJA1000 的 原 理 图 符 号 指 定 其 封 装, 这 样 自 建 的 集 成 库 中 就 有 了 SJA1000 元 件 及 其 封 装 4 绘 制 CAN 总 线 接 口 原 理 图 确 定 在 S3C44B0.SchDoc 界 面, 执 行 菜 单 命 令 Design / Create Sheet From Symbol, 光 标 变 为 十 字 形, 将 其 移 到 子 图 标 识 CAN 上 单 击 鼠 标 左 键, 系 统 自 动 弹 出 是 否 转 换 输 入 / 输 出 方 向 的 确 认 对 话 框 单 击 按 钮,Protel DXP 自 动 生 成 一 个 与 子 图 电 路 的 Filename 同 名 的 原 理 165

166 图 文 件 CAN.SchDoc 这 个 新 的 原 理 图 已 经 布 好 了 与 子 图 电 路 相 对 应 的 输 / 输 出 端 口, 并 且 有 着 相 同 的 名 称 和 对 应 的 输 入 / 输 出 方 向 注 意 保 存 接 下 来 就 是 在 系 统 自 动 生 成 的 子 原 理 图 中 添 加 元 件, 完 成 电 路 绘 制 完 成 的 CAN 接 口 电 路 原 理 图 如 图 3-40 所 示 图 指 定 TJA1040 的 PCB 封 装 完 成 的 CAN 接 口 电 路 原 理 图 Protel DXP 自 带 库 中 虽 然 没 有 TJA1040 专 门 的 封 装, 但 是 该 芯 片 的 封 装 是 标 准 的 SOP8 封 装, 所 以 只 需 要 指 定 其 封 装 即 可 (1) 在 CAN.SchDoc 原 理 图 中, 双 击 TJA1040 (2) 在 弹 出 的 对 话 框 右 下 角 的 Model 栏 中, 点 击 添 加 按 钮 在 弹 出 的 对 话 框 中 选 择 Footprint, 单 击 OK 按 钮 (3) 系 统 弹 出 PCB Model 对 话 框, 单 击 按 钮, 在 弹 出 的 Browse Libraries 对 话 框 中 点 击 按 钮 (4) 在 弹 出 的 Search Libraries 对 话 框 的 Name 栏 输 入 SO-G8, 查 找 SO-8 封 装, 结 果 如 图 3-41 所 示 可 以 看 出, 有 很 多 SO-G8 封 装, 它 们 都 是 标 准 的, 完 全 相 同, 任 一 166

167 选 择 一 个, 单 击 按 钮 即 可 图 3-41 SO-G8 封 装 的 搜 索 结 果 6 编 排 元 件 序 号 在 上 述 绘 制 原 理 图 的 过 程 中, 没 有 去 特 意 地 编 排 元 件 序 号, 所 以 比 较 混 乱 执 行 菜 单 命 令 Tools / Annotate 后, 弹 出 Annotate 对 话 框, 选 择 第 4 种 排 序 方 式 单 击 按 钮 后, 再 单 击 弹 出 的 小 对 话 框 的 OK 按 钮, 然 后 单 击 按 钮, 就 会 弹 出 另 一 个 对 话 框 单 击 该 对 话 框 上 的 按 钮, 然 后 单 击 按 钮, 即 完 成 了 重 新 编 排 元 件 序 号 7 ERC 校 验 执 行 菜 单 命 令 Project / Compile All Projects, 进 行 ERC 校 验, 弹 出 Message 对 话 框 从 中 可 以 看 出 当 前 原 理 图 的 问 题 如 果 编 译 原 理 图 后, 没 有 自 动 弹 出 Message 对 话 框, 可 点 击 绘 图 区 下 方 的 Message 标 签 167

168 3.5 PCB 设 计 绘 制 S3C44B0 芯 片 的 PCB 封 装 原 理 图 中,ARM 芯 片 S3C44B0 的 封 装 还 没 有 建 立, 在 转 PCB 图 之 前 需 要 建 立 其 PCB 封 装 该 芯 片 采 用 16 引 脚 的 LQFP 封 装 下 面 介 绍 S3C44B0 的 PCB 封 装 建 立 方 法 (1) 选 择 高 级 实 例.PcbLib 文 件, 打 开 PCB Library 面 板, 单 击 按 钮, 弹 出 PCB 库 设 计 向 导 Component Wizard (2) 单 击 Next 按 钮, 在 出 现 的 对 话 框 中 选 择 QUAD 类 型, 并 选 择 公 制 Metric 如 图 3-42 所 示 所 示 图 3-42 设 置 封 装 类 型 (3) 单 击 Next 按 钮, 设 置 焊 盘 尺 寸, 焊 盘 长 设 为 1.2mm, 宽 设 为 0.3mm 如 图

169 图 3-43 设 置 焊 盘 尺 寸 (4) 单 击 Next 按 钮, 取 默 认 值 将 第 1 个 焊 盘 设 为 圆 角, 其 它 焊 盘 设 为 矩 形 (5) 单 击 Next 按 钮, 取 默 认 值 (6) 单 击 Next 按 钮, 将 焊 盘 纵 向 间 距 和 横 向 间 距 都 设 为 0.5mm, 相 邻 面 间 距 设 为 设 为 2.75mm 如 图 3-44 所 示 169

170 图 3-44 设 置 焊 盘 间 距 (7) 单 击 Next 按 钮, 设 定 第 1 引 脚 位 置 及 引 脚 号 递 增 方 向, 取 默 认 值 (8) 单 击 Next 按 钮, 将 横 向 及 纵 向 焊 盘 数 都 设 为 40 (9) 单 击 Next 按 钮, 将 该 PCB 封 装 命 名 为 S3C44B0 (10) 单 击 Next 按 钮,PCB 向 导 完 成, 单 击 Finish 按 钮 结 束 生 成 的 PCB 封 装 如 图 3-45 所 示 图 3-45 生 成 的 S3C44B0 芯 片 的 PCB 封 装 按 照 以 前 的 方 式, 在 原 理 图 文 件 中 双 击 S3C44B0 的 原 理 图 符 号, 为 其 指 定 封 装 PCB 生 成 向 导 完 成 原 理 图 设 计 后, 就 进 入 PCB 图 的 设 计 在 将 设 计 从 原 理 图 编 辑 器 切 换 到 PCB 编 辑 器 前, 需 要 创 建 一 个 空 白 的 PCB 文 件 下 面 利 用 Protel DXP 的 PCB 文 件 生 成 向 导, 来 完 成 这 一 步 : (1) 右 键 单 击 高 级 实 例.PRJPCB, 在 弹 出 的 菜 单 中 执 行 New / Other, 单 击 左 侧 面 板 中 最 下 方 的 命 令, 进 入 PCB 文 件 生 成 向 导 系 统 会 弹 出 PCB Board Wizard 欢 迎 对 话 框 (2) 系 统 自 动 弹 出 PCB Board Wizard 欢 迎 对 话 框 (3) 单 击 <Next> 按 钮 继 续, 下 一 步 对 话 框 是 设 置 PCB 的 尺 寸 单 位 选 择 Metric 的 单 选 框, 将 尺 寸 单 位 设 为 公 制 mm (4) 设 置 PCB 的 类 型 选 择 Custom 选 项, 根 据 需 要 自 己 来 规 划 PCB (5) 设 定 PCB 的 尺 寸 参 数, 图 纸 的 宽 度 (Width):200mm, 高 度 (height):150mm. 1 将 Title Block and Scale Legend String Dimgension Lines 三 个 选 项 设 为 非 选 中 状 态 ; 2 将 Dimgension Layer 设 为 None, 不 添 加 尺 寸 标 注 层 170

171 (6) 接 下 来 是 板 层 设 置 对 话 框 因 为 本 例 是 4 层 板, 所 以 选 择 2 个 信 号 层 (Signal Layer) 和 2 个 内 电 层 (Power Plane) (7) 设 置 过 孔 样 式, 选 择 通 孔 (Thruhole Vias only) 其 他 选 项 为 盲 孔 和 过 孔 (Blind and Buried Vias onlyu) (8) 设 置 元 件 / 布 线 策 略 根 据 器 件 的 选 型, 看 使 用 的 器 件 多 是 直 插 式 元 件 (Through-hole components) 还 是 表 贴 式 元 件 (Surface-mount components), 还 要 看 元 件 的 安 装 方 式 是 单 面 或 是 双 面 (Both sides) 本 例 中 选 择 Surface-mount components 和 将 元 件 只 布 置 一 面 (9) 设 置 导 线 和 过 孔 的 尺 寸 以 及 安 全 间 距 等 参 数 取 默 认 值 (10) 单 击 <Finish> 按 钮, 完 成 PCB 板 向 导 的 设 置 PCB 板 生 成 向 导 创 建 的 PCB 文 件 名 为 PCB1.pcbdoc, 将 其 保 存 为 高 级 实 例.pcbdoc 下 一 步, 设 定 工 作 层 面 工 作 层 面 的 说 明 和 设 置 Protel DXP 提 供 的 工 作 层 面 主 要 有 以 下 几 种 类 型 (1) 信 号 层 (Signal Layers) 前 面 提 到 过 Protel DXP 中 共 有 32 个 信 号 层, 主 要 包 括 Top Layer Bottom Layer Mid Layer 1~30 信 号 层 是 主 要 用 来 放 置 元 件 和 布 线 的 工 作 层 Top Layer Bottom Layer 是 顶 层 底 层 敷 铜 布 线 层 面, 可 以 放 置 元 件 和 布 线 Mid Layer 为 中 间 布 线 层, 布 置 信 号 线 (2) 内 部 电 源 / 接 地 层 (Internal Planes) Protel DXP 提 供 了 16 个 内 部 电 源 / 接 地 层 Plane1 ~ Plane16, 用 于 布 置 电 源 线 和 地 线 (3) 机 械 层 (Mechanical Layers) Protel DXP 提 供 了 16 个 机 械 层 机 械 层 一 般 用 来 绘 制 印 制 电 路 板 的 边 框 ( 边 界 ), 通 常 只 需 使 用 一 个 机 械 层 (4) 防 护 层 (Mask layers) 主 要 用 于 防 止 电 路 板 上 不 希 望 镀 锡 的 地 方 被 镀 上 锡, 包 括 阻 焊 层 Solder Mask 和 锡 膏 防 护 层 Paste Mask Protel DXP 提 供 了 顶 层 (Top solder) 和 底 层 (Bottom mask) 两 个 阻 焊 层 ; 同 样 提 供 了 顶 层 (Top Paste) 和 底 层 (Bottom Paste) 两 个 锡 膏 防 护 层 (5) 丝 印 层 (Silkscreen) Protel DXP 提 供 了 (Top Overlay) 和 底 层 (Bottom Overlay) 两 个 丝 印 层 丝 印 层 主 要 用 于 绘 制 元 件 的 外 形 轮 廓 (6) 其 他 工 作 层 (Other) 1 Keep Out Layer : 禁 止 布 线 层 ; 2 Multi Layer : 设 置 多 层 面 ; 3 Drill Guide : 钻 孔 位 置 ; 4 Drill Drawing : 钻 孔 171

172 尽 管 Protei DXP 提 供 了 多 达 72 层 的 工 作 层 面, 但 在 设 计 工 作 中, 常 用 到 的 工 作 层 有 顶 层 信 号 层 底 层 信 号 层 丝 印 层 和 禁 止 布 线 层 可 以 使 用 Protel DXP 的 图 层 堆 栈 管 理 器, 在 管 理 器 内 添 加 删 除 工 作 层 面, 更 改 各 个 工 作 层 面 的 顺 序 执 行 菜 单 命 令 Design / Layer Stack Manager, 在 弹 出 的 如 图 3-46 所 示 的 Layers Stack Manager 对 话 框 ( 图 层 堆 栈 管 理 器 ) 中, 选 择 设 定 工 作 层 面 图 3-46 Layers Stack Manager 对 话 框 可 以 通 过 单 击 右 侧 的 各 功 能 按 钮 添 加 删 除 上 移 下 移 层 面, 也 可 设 置 层 面 属 性 执 行 菜 单 命 令 Design / Board Layers, 会 弹 出 的 如 图 3-47 所 示 的 Board Layers 对 话 框 ( 图 层 设 置 ) 每 个 工 作 层 面 后 面 都 有 一 个 复 选 框, 单 击 复 选 框, 出 现 勾 号, 即 打 开 该 工 作 层 面 否 则, 该 工 作 层 面 处 于 关 闭 状 态 172

173 图 3-47 Board Layers 对 话 框 本 例 设 置 如 下 : 保 留 信 号 层 和 电 源 / 接 地 层, 另 外 取 Top Paste Top Solder 层 Keep-Out Layer Multi-Layer 层 和 1 个 机 械 层 工 作 层 面 的 颜 色 也 可 以 由 用 户 定 义 设 置 环 境 参 数 执 行 菜 单 命 令 Design / Option, 或 者 在 绘 图 区 点 击 鼠 标 右 键, 在 Options 的 弹 出 菜 单 中 执 行 Board Options 命 令, 弹 出 Board Options 对 话 框 1 Measurement Unit : 单 位 设 为 mil 2 Snap Grid : 捕 获 栅 格, 指 的 是 光 标 捕 获 图 件 时 跳 跃 的 最 小 间 隔 X Y 方 向 均 设 为 5mil 3 Comonent Grid : 元 件 放 置 捕 获 栅 格 X Y 方 向 均 设 为 5mil 4 Electrcal Grid : 电 气 栅 格, 取 默 认 5 Visible Grid : 可 视 栅 格 选 择 栅 格 的 类 型 为 Lines, 第 一 可 视 栅 格 Grid1 和 第 二 可 视 栅 格 Grid2 均 设 为 100mil 技 巧 : 捕 获 栅 格 和 电 气 栅 格 应 该 相 近 相 差 过 大, 在 连 线 时 光 标 会 很 难 捕 捉 到 用 户 需 要 的 电 气 连 接 点 电 气 栅 格 和 捕 获 栅 格 不 能 大 于 元 件 封 装 的 管 脚 间 距, 否 则 会 给 用 户 连 线 带 来 不 必 要 的 麻 烦 第 一 可 视 栅 格 和 第 二 可 视 栅 格 建 议 设 为 相 同 的 栅 距, 有 助 于 用 户 掌 握 元 件 图 纸 和 线 间 距 的 大 小 根 据 PCB 板 的 安 装 要 求, 需 要 在 固 定 安 装 孔 的 位 置 上 放 置 适 当 大 小 的 通 孔 对 于 3mm 的 螺 钉, 一 般 采 用 内 外 径 均 为 4mm 的 通 孔 先 选 择 Board Option 将 单 位 换 为 Metric, 在 画 完 通 孔 后, 再 换 回 英 制 单 位 单 击 工 具 栏 上 的 按 钮, 按 <TAB> 键, 会 弹 出 图 3-48 所 示 的 过 孔 设 置 对 话 框 将 内 外 径 均 设 为 4mm 的 通 孔, 然 后 在 PCB 板 的 4 个 顶 角 处 放 置 4 个 通 孔, 如 图 3-49 所 示 注 意 : 放 置 通 孔 时, 要 选 择 是 在 Multi-Layer 层 173

174 3-48 过 孔 设 置 对 话 框 图 3-49 放 置 4 个 固 定 安 装 孔 设 置 完 毕 后, 在 PCB 文 件 中 同 步 导 入 网 络 表 和 元 件 封 装 (1) 确 认 在 PCB 绘 图 区, 执 行 菜 单 命 令 Design / Import Changes From 注 意 : 要 保 证 高 级 实 例.IntLib 库 文 件 也 加 载 到 了 工 程 里 否 则, 其 中 的 元 件 图 封 174

175 装 无 法 载 入 到 PCB 图 中 (2) 在 弹 出 的 Engineering Change Order 对 话 框 中, 点 击 按 钮 右 侧 Check 列 就 会 出 现 绿 色 的 对 号 或 红 色 的 叉 号, 如 果 是 红 色 的 叉 号 表 明 该 元 件 的 引 脚 封 装 或 连 接 不 正 确, 应 该 回 到 原 理 图 修 改, 直 到 全 部 都 为 绿 色 的 对 号 (3) 核 实 无 误 后, 点 击 按 钮, 执 行 变 更 然 后 单 击 按 钮 布 线 及 后 期 处 理 请 听 专 业 教 师 讲 解, 这 里 不 再 给 出 175

176 第 4 章 常 用 操 作 4.1 原 理 图 打 印 在 原 理 图 绘 制 完 毕 后, 经 常 需 要 打 印 出 来 以 便 备 份 与 查 看, 下 面 介 绍 原 理 图 的 打 印 方 法 首 先 设 置 打 印 机, 执 行 菜 单 命 令 File / Page Setup, 弹 出 打 印 机 设 置 对 话 框, 如 图 4-1 所 示 图 4-1 原 理 图 打 印 属 性 对 话 框 说 明 如 下 : Printer Paper 分 组 框 : 打 印 机 的 纸 张 设 置 1 Size : 打 印 机 纸 张 的 大 小, 一 般 打 印 机 是 A4, 如 果 是 绘 图 仪, 纸 张 可 以 更 大 ; 2 Portrait : 纵 向 打 印 ; 3 Landscape : 横 向 打 印 Scaling 分 组 框 : 打 印 时 的 缩 放 设 置, 可 以 选 择 两 种 模 式 1 Fit Document On Page : 图 纸 缩 放 到 适 合 当 前 纸 张 的 大 小 ; 2 Scaled Point : 按 指 定 的 所 放 率 打 印, 如 果 指 定 的 所 放 率 使 得 原 理 图 不 能 打 印 在 一 张 图 纸 上, 系 统 会 自 动 把 图 纸 分 成 几 张 从 打 印 预 览 窗 口 可 以 看 到 图 纸 的 切 分 情 况 176

177 Margins 分 组 框 : 原 理 图 边 框 和 纸 张 边 沿 的 距 离 Colorado Set 色 彩 设 置, 选 择 黑 白 还 是 彩 色 打 印 Mono 是 单 色, 只 有 一 种 深 度 的 黑 而 灰 色 则 有 深 浅 之 分 设 置 好 以 上 参 数 后, 单 击 OK 按 钮 保 存, 单 击 Preview 按 钮, 可 以 对 打 印 效 果 进 行 预 览 打 印 普 通 电 路 图 ( 指 产 品 说 明 书 插 图 等, 它 不 需 图 框 和 标 题 栏 ) 时, 在 原 理 图 中 执 行 右 键 菜 单 命 令 Document Options, 会 弹 出 图 4-2 所 示 的 对 话 框 将 图 中 的 Show Reference Zone ( 显 示 分 区 坐 标 ) Show Border ( 显 示 图 框 ) Title Block ( 显 示 标 题 栏 ) 项 全 部 取 消 图 4-2 Document Options 对 话 框 若 要 提 高 打 印 图 纸 的 清 晰 度, 可 以 取 消 栅 格 和 底 色 的 显 示 取 消 底 色 的 方 法 是 在 Document Options 对 话 框 中 将 图 纸 的 底 色 设 为 白 色, 去 掉 栅 格 的 方 法 是 将 对 话 框 中 Grids 分 组 框 中 的 Visible 项 取 消 这 时 就 可 以 打 印 出 清 晰 并 且 带 有 图 框 分 区 和 标 题 栏 的 标 准 图 纸 4.2 自 动 更 新 功 能 在 原 理 图 设 计 过 程 中 或 者 完 成 后, 发 现 某 一 元 件 的 原 理 图 库 需 要 重 新 修 改 那 么 在 完 成 修 改 后, 在 原 理 图 库 界 面 中 执 行 菜 单 命 令 Tools / Update Schematics 来 更 新 原 理 图 执 行 操 作 时, 要 确 认 需 要 更 新 的 原 理 图 已 经 被 打 开 执 行 更 新 命 令 后, 会 弹 出 图 4-3 所 示 的 Design Explorer Info 窗 口, 显 示 有 几 个 元 件 被 更 新 以 及 更 新 在 哪 个 原 理 图 中 177

178 图 4-3 Design Explorer Info 窗 口 4.3 PCB 图 的 打 印 执 行 菜 单 命 令 File / Page Setup, 弹 出 图 4-4 所 示 的 对 话 框, 与 原 理 图 中 打 印 设 置 对 话 框 不 同, 该 图 过 了 一 个 Advanced 按 钮 设 置 完 纸 张 尺 寸 打 印 方 向 缩 放 模 式 页 边 距 和 色 彩 模 式 后, 点 击 Advanced 按 钮, 弹 出 高 级 设 置 对 话 框, 设 置 打 印 PCB 图 的 哪 些 层 和 哪 些 内 容, 如 图 4-5 所 示 框 双 击 对 话 框 中 图 4-5 打 印 高 级 设 置 对 话 框 图 标, 弹 出 如 图 4-6 所 示 的 打 印 层 面 设 置 对 话 178

179 图 4-6 打 印 层 面 设 置 对 话 框 如 图 希 望 添 加 某 一 层, 可 以 单 击 该 对 话 框 中 的 该 层 面, 如 图 4-7 所 示 按 钮, 在 弹 出 的 对 话 框 中 选 择 179

180 图 4-7 打 印 中 添 加 Internal Plane1 层 4.4 生 成 元 件 清 单 可 以 利 用 Protel DXP 自 带 的 生 成 元 件 清 单 功 能 来 生 成 元 件 清 单 列 表, 以 便 查 看 或 购 买 等 执 行 菜 单 命 令 Reports / Bill of Materials, 系 统 会 弹 出 图 4-8 所 示 的 PCB 元 件 清 单 对 话 框 对 话 框 左 侧 是 设 置 在 右 边 区 域 要 显 示 的 项 目, 右 侧 是 元 件 清 单 的 项 目 和 内 容 在 对 话 框 中 还 可 以 设 置 文 件 输 出 的 格 式 和 模 板 等 内 容 设 置 完 成 后, 单 击 按 钮, 则 显 示 元 件 清 单 的 打 印 预 览 对 话 框, 在 其 中 单 击 180

181 按 钮 即 可 对 元 件 清 单 进 行 打 印 4-8 PCB 元 件 清 单 对 话 框 181

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基 内 部 资 料 东 北 师 范 大 教 运 行 基 本 状 态 据 报 告 2015 年 春 季 期 教 务 处 2015 年 10 月 27 日 说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度,

More information

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 李 炎 斌 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63>

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63> ( 一 ) 系 统 整 体 操 作 流 程 简 述 3 ( 二 ) 系 统 中 各 角 色 操 作 功 能 说 明 5 1. 学 院 管 理 员 5 2. 教 学 院 长 8 3. 指 导 教 师 10 4. 答 辩 组 组 长 12 5. 学 生 12 6. 系 统 管 理 员 15 ( 一 ) 论 文 系 统 常 见 问 题 16 ( 二 ) 论 文 查 重 常 见 问 题 22 1 2 主

More information

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知 第 卷 第 期 年 月 开 放 教 育 研 究 何 秋 琳 张 立 春 华 南 师 范 大 学 未 来 教 育 研 究 中 心 广 东 广 州 随 着 图 像 化 技 术 和 电 子 媒 体 的 发 展 视 觉 学 习 也 逐 步 发 展 为 学 习 科 学 的 一 个 研 究 分 支 得 到 研 究 人 员 和 教 育 工 作 者 的 广 泛 关 注 基 于 此 作 者 试 图 对 视 觉 学 习

More information

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年 工 程 设 计 与 施 工 资 质 标 准 一 总 则 建 筑 智 能 化 工 程 设 计 与 施 工 资 质 标 准 ( 一 ) 为 了 加 强 对 从 事 建 筑 智 能 化 工 程 设 计 与 施 工 企 业 的 管 理, 维 护 建 筑 市 场 秩 序, 保 证 工 程 质 量 和 安 全, 促 进 行 业 健 康 发 展, 结 合 建 筑 智 能 化 工 程 的 特 点, 制 定 本 标

More information

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量 0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 3 无 轻 伤 责 任 事 故 目 标 30 及 事 无 重 大 质 量 工 作 过 失 故 管 无 其 他 一 般 责 任 事 故 理 在 公 司 文 明 环 境 创 建 中, 无 工 作 过 失 及 被 追 究 的

More information

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :,

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :, : 周 晓 虹 : - -., - - - -. :( ), -,.( ),,, -. - ( ).( ) ', -,,,,, ( ).( ),,, -., '.,, :,,,, :,,,, ,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,,

More information

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学 1 安 排 组 织 全 国 网 络 统 考 九 月 批 次 网 上 考 前 辅 导 第 一 学 期 第 一 周 统 考 考 前 半 个 月 2 下 发 全 国 网 络 统 考 九 月 批 次 准 考 证 第 一 学 期 第 一 周 导 出 下 半 年 成 人 本 科 学 士 学 位 英 语 统 一 考 试 报 考 3 信 息 第 一 学 期 第 一 周 4 教 学 计 划 和 考 试 计 划 上 网,

More information

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 徐 岩 宇 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

I

I 机 电 一 级 注 册 建 造 师 继 续 教 育 培 训 广 东 培 训 点 网 上 报 名 操 作 使 用 手 册 (2013 年 1 月, 第 一 版 ) 第 一 章 个 人 注 册 与 个 人 信 息 管 理 1. 个 人 注 册 ( 请 每 人 只 申 请 一 个 注 册 号, 如 果 单 位 批 量 报 班 单 位 帮 申 请 注 册, 不 需 个 人 再 注 册 ) 首 次 报 班,

More information

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅 小 麦 净 多 持 仓 增 加, 豆 油 豆 粕 净 多 持 仓 减 少 美 国 CFTC 持 仓 报 告 部 门 : 市 场 研 究 与 开 发 部 类 型 : 量 化 策 略 周 报 日 期 :212 年 5 月 7 日 电 话 :592-5678753 网 址 :www.jinyouqh.com 主 要 内 容 : 根 据 美 国 CFTC 公 布 的 数 据, 本 报 告 中 的 11 个

More information

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % %

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % % 抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 王 红 曼 抗 战 时 期 国 民 政 府 为 适 应 战 时 经 济 金 融 的 需 要 实 行 由 财 政 部 四 联 总 处 中 央 银 行 等 多 家 机 构 先 后 共 同 参 与 的 多 元 化 银 行 监 理 体 制 对 战 时 状 态 下 的 银 行 发 展 与 经 营 安 全 进 行 了 大 规 模 的 设 计 与

More information

修改版-操作手册.doc

修改版-操作手册.doc 职 称 信 息 系 统 升 级 指 南 须 使 用 IE9 及 其 以 上 版 本 浏 览 器 或 谷 歌 浏 览 器 登 录 www.njrs.gov.cn 南 京 市 职 称 ( 职 业 资 格 ) 工 作 领 导 小 组 办 公 室 2016 年 5 月 目 录 一 申 报 人 员 操 作 指 南...1 1.1 职 称 初 定 申 报...1 1.1.1 职 称 初 定 基 础 信 息 填

More information

深圳市新亚电子制程股份有限公司

深圳市新亚电子制程股份有限公司 证 券 代 码 :002388 证 券 简 称 : 新 亚 制 程 公 告 编 号 :2016-053 深 圳 市 新 亚 电 子 制 程 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏 特

More information

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011 关 于 2015-2016 学 年 第 二 学 期 期 末 周 内 考 试 时 间 地 点 安 排 选 课 课 号 班 级 名 称 课 程 名 称 课 程 性 质 合 考 人 数 实 际 人 数 考 试 教 室 考 试 段 考 试 时 间 (2015-2016-2)-0006178-04247-1 130101 测 试 技 术 基 础 学 科 基 础 必 修 课 35 35 1 教 401 17 周

More information

Microsoft Word - 第7章 图表反转形态.doc

Microsoft Word - 第7章 图表反转形态.doc 第 七 章 图 表 反 转 形 态 我 们 知 道 市 场 趋 势 共 有 三 种 : 上 升 趋 势 下 降 趋 势 和 横 向 整 理 市 场 的 价 格 波 动 都 是 运 行 在 这 三 种 趋 势 中, 所 有 的 走 势 都 是 这 三 种 趋 势 的 排 列 组 合 如 图 市 场 趋 势 结 构 示 意 图 7-1 所 示 市 场 趋 势 结 构 示 意 图 7-1 图 市 场 趋

More information

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6>

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6> 中 国 应 对 气 候 变 化 的 政 策 与 行 动 2013 年 度 报 告 国 家 发 展 和 改 革 委 员 会 二 〇 一 三 年 十 一 月 100% 再 生 纸 资 源 目 录 前 言... 1 一 应 对 气 候 变 化 面 临 的 形 势... 3 二 完 善 顶 层 设 计 和 体 制 机 制... 4 三 减 缓 气 候 变 化... 8 四 适 应 气 候 变 化... 20

More information

文 化 记 忆 传 统 创 新 与 节 日 遗 产 保 护 根 据 德 国 学 者 阿 斯 曼 的 文 化 记 忆 理 论 仪 式 与 文 本 是 承 载 文 化 记 忆 的 两 大 媒 体 在 各 种 仪 式 行 为 中 节 日 以 其 高 度 的 公 共 性 有 组 织 性 和 历 史 性 而 特 别 适 用 于 文 化 记 忆 的 储 存 和 交 流 节 日 的 文 化 功 能 不 仅 在 于

More information

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63>

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63> 国 内 各 期 货 交 易 所 关 于 合 约 限 仓 方 面 的 规 定 上 海 期 货 交 易 所 经 纪 会 员 非 经 纪 会 员 和 客 户 的 期 货 合 约 在 不 同 时 期 限 仓 的 具 体 比 例 和 数 额 如 下 : ( 单 位 : ) 合 约 挂 牌 至 交 割 月 前 第 二 月 的 最 后 一 个 交 易 日 交 割 月 前 第 一 月 交 割 月 份 某 一 期 货

More information

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc - 1 - - 2 - 附 件 全 国 建 筑 市 场 注 册 执 业 人 员 不 良 记 录 认 定 标 准 ( 试 行 ) 说 明 为 了 完 善 建 筑 市 场 注 册 执 业 人 员 诚 信 体 系 建 设, 规 范 执 业 和 市 场 秩 序, 依 据 相 关 法 律 法 规 和 部 门 规 章, 根 据 各 行 业 特 点, 我 部 制 订 了 全 国 建 筑 市 场 注 册 执 业 人

More information

第三章 作业

第三章  作业 - 在 题 图 - 中, 若 电 压 源 U V, 电 阻, 试 在 图 示 参 考 方 向 下 求 支 路 电 流 I Us I 题 图 - 以 电 压 源 为 参 考 方 向,I=-A - 求 图 - 各 支 路 中 未 知 量 的 值 4V V =? A U=? V A U=? A V a b c a =(-4)/=Ω b U=+ =4V c U=4V 题 图 - - 在 题 图 -a b 所

More information

《C语言基础入门》课程教学大纲

《C语言基础入门》课程教学大纲 C 语 言 开 发 入 门 教 程 课 程 教 学 大 纲 课 程 编 号 :201409210011 学 分 :5 学 分 学 时 :58 学 时 ( 其 中 : 讲 课 学 时 :39 学 时 上 机 学 时 :19 学 时 ) 先 修 课 程 : 计 算 机 导 论 后 续 课 程 :C++ 程 序 设 计 适 用 专 业 : 信 息 及 其 计 算 机 相 关 专 业 开 课 部 门 : 计

More information

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和 语 音 语 篇 语 感 语 域 林 大 津 毛 浩 然 改 革 开 放 以 来 的 英 语 热 引 发 了 大 中 小 学 英 语 教 育 整 体 规 划 问 题 在 充 分 考 虑 地 区 学 校 和 个 体 差 异 以 及 各 家 观 点 的 基 础 上 遵 循 实 事 求 是 逐 级 定 位 逐 层 分 流 因 材 施 教 的 原 则 本 研 究 所 倡 导 的 语 音 语 篇 语 感 语 域

More information

国债回购交易业务指引

国债回购交易业务指引 附 件 1 上 海 证 券 交 易 所 新 质 押 式 国 债 回 购 交 易 业 务 指 引 一 总 述 根 据 上 海 证 券 交 易 所 债 券 交 易 实 施 细 则, 上 证 所 将 于 2006 年 5 月 8 日 起 推 出 新 质 押 式 国 债 回 购 新 质 押 式 回 购 与 现 行 质 押 式 回 购 相 比 区 别 主 要 在 以 下 几 个 方 面 :1 新 质 押 式

More information

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以 2015 年 考 研 数 学 二 考 试 大 纲 考 试 科 目 : 高 等 数 学 线 性 代 数 考 试 形 式 和 试 卷 结 构 一 试 卷 满 分 及 考 试 时 间 试 卷 满 分 为 150 分, 考 试 时 间 为 180 分 钟. 二 答 题 方 式 答 题 方 式 为 闭 卷 笔 试. 三 试 卷 内 容 结 构 高 等 教 学 约 78% 线 性 代 数 约 22% 四 试 卷

More information

ETF、分级基金规模、份额变化统计20130816

ETF、分级基金规模、份额变化统计20130816 ETF 分 级 基 金 规 模 份 额 变 化 统 计 截 至 上 周 末, 全 市 场 股 票 型 ETF 规 模 约 1451 亿, 份 额 约 1215 亿,ETF 总 份 额 及 规 模 的 周 变 动 值 分 别 为 -23-44 亿, 份 额 与 规 模 均 下 降 ; 分 级 基 金 规 模 约 438 亿, 份 额 572 亿, 总 份 额 及 规 模 的 周 变 动 值 分 别 为

More information

科 学 出 版 社 科 学 出 版 社 前 言 本 书 是 针 对 普 通 高 等 院 校 经 济 类 和 工 商 管 理 类 本 科 专 业 财 务 管 理 学 的 教 学 需 求, 结 合 教 育 部 经 济 管 理 类 本 科 财 务 管 理 学 课 程 教 学 大 纲 编 写 而 成 的 本 书 执 笔 者 都 是 长 期 工 作 在 财 务 管 理 教 学 一 线 的 专 业 教 师,

More information

Microsoft Word - 第3章.doc

Microsoft Word - 第3章.doc 52 5 天 通 过 职 称 计 算 机 考 试 ( 考 点 视 频 串 讲 + 全 真 模 拟 ) Word 2003 中 文 字 处 理 ( 第 2 版 ) 第 3 章 3 字 符 格 式 需 要 掌 握 的 考 点 字 体 字 形 和 字 号 的 设 置 ; 上 标 下 标 空 心 字 等 字 体 效 果 的 使 用 ; 字 符 间 距 的 调 整 ; 改 变 字 符 颜 色 底 纹 添 加

More information

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63>

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63> 附 件 1 国 际 中 药 专 业 高 级 技 术 职 称 评 审 条 件 及 报 名 材 料 一 系 列 ( 一 ) 中 1 高 级 专 科 ( 副 ) 高 级 专 科 ( 副 ) 1 取 得 中 专 科 职 称 后, 独 立 从 事 中 临 床 实 践 5 年 以 上 2 取 得 中 博 士 学 位 后, 临 床 实 践 2 年 以 上 3 取 得 中 硕 士 学 位 后, 临 床 实 践 7

More information

 编号:

 编号: 编 号 : 企 业 内 高 技 能 人 才 培 养 评 价 实 施 方 案 ( 仅 适 用 于 企 业 特 有 行 业 特 有 工 种 ) 实 施 单 位 ( 公 章 ) 申 报 日 期 年 _ 月 日 1 企 业 内 高 技 能 人 才 培 养 评 价 项 目 实 施 方 案 申 报 表 项 目 名 称 等 级 项 目 性 质 课 时 申 报 单 位 联 系 人 通 讯 地 址 电 话 手 机 电

More information

<4D6963726F736F667420576F7264202D2032303133C4EAB9A4B3CCCBB6CABFCAFDD1A7D7A8D2B5BFCEBFBCCAD4B4F3B8D9D3EBD2AAC7F3>

<4D6963726F736F667420576F7264202D2032303133C4EAB9A4B3CCCBB6CABFCAFDD1A7D7A8D2B5BFCEBFBCCAD4B4F3B8D9D3EBD2AAC7F3> 工 程 硕 士 数 学 考 试 大 纲 与 要 求 ( 包 括 高 等 数 学 和 线 性 代 数 ) 一 函 数 极 限 与 连 续 第 一 部 分 : 高 等 数 学 考 试 内 容 函 数 的 概 念 及 表 示 法 函 数 的 有 界 性 单 调 性 周 期 性 和 奇 偶 性 复 合 函 数 反 函 数 分 段 函 数 和 隐 函 数 基 本 初 等 函 数 的 性 质 及 其 图 形 初

More information

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,,

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,, ( ) ( )... 李 雪 岩, 龙 耀 (. 广 西 民 族 大 学 商 学 院, 广 西 南 宁 ;. 中 山 大 学 教 育 学 院, 广 东 广 州 ) : 高 等 教 育 是 专 业 教 育 高 考 是 为 高 等 教 育 服 务 的, 是 为 高 等 专 业 教 育 选 拔 有 专 业 培 养 潜 质 的 人 才 现 行 高 考 制 度 忽 略 专 业 潜 质 的 因 素, 过 份 强

More information

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程 考 试 时 间 2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 考 场 所 在 教 学 楼 ( 教 学 区 ) 考 试 教 室 课 程 号 课 程 名 考 生 所 在 专 业 ( 班 级 ) 考 生 所 属 学 院 8:10-9:50 第 二 公 共 教 学 楼 A 区 A101 10811026 高 等 数 学 (

More information

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 师 1.2 职 业 定 义 可 编 程 师 国 家 职 业 标 准 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 进 行 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四 个 等 级, 分 别 为 : 四 级 可 编 程 师 ( 国 家 职 业 资 格 四 级 ) 三

More information

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语 新 汉 语 水 平 考 试 HSK 为 使 汉 语 水 平 考 试 (HSK) 更 好 地 服 务 于 汉 语 学 习 者, 中 国 国 家 汉 办 组 织 中 外 汉 语 教 学 语 言 学 心 理 学 和 教 育 测 量 学 等 领 域 的 专 家, 在 充 分 调 查 了 解 海 外 实 际 汉 语 教 学 情 况 的 基 础 上, 吸 收 原 有 HSK 的 优 点, 借 鉴 近 年 来 国

More information

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日 河 北 师 范 大 学 学 报 新 时 期 海 峡 两 岸 高 校 开 放 招 生 问 题 探 讨 郑 若 玲 王 晓 勇 海 峡 两 岸 高 校 开 放 招 生 是 新 时 期 推 进 海 峡 两 岸 高 等 教 育 交 流 与 合 作 的 重 要 尝 试 系 统 梳 理 改 革 开 放 以 来 两 岸 招 生 政 策 与 就 学 人 数 发 展 变 化 的 历 史 进 程 可 发 现 促 进 两

More information

2006年顺德区高中阶段学校招生录取分数线

2006年顺德区高中阶段学校招生录取分数线 2014 年 顺 德 区 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 录 取 根 据 佛 山 市 办 提 供 的 考 生 数 据, 现 将 我 区 2014 年 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 的 录 取 公 布 如 下 : 一 顺 德 一 中 录 取 分 第 1 志 愿, 总 分 585, 综 合 表 现 评 价 A, 考

More information

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2 简 略 版 本 :2015 3 10 2016 2021 全 球 卫 生 部 门 病 毒 性 肝 炎 战 略 2016 2021 2015 3 12 2012 2010 2014 2015 2016 2021 140 55% 35% 5 15% 5 20% 2.4 1.3 1.5 1 1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路

More information

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 县 乡 两 级 的 政 治 体 制 改 革 如 何 建 立 民 主 的 合 作 新 体 制 县 乡 人 大 运 行 机 制 研 究 课 题 组 引 言 一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 二 密 县 在 周 初 是 两 个 小 国 密 国 和 郐 国 三 密 县 的 第 一 任 县 令 卓 茂 四 明 清 时 代 的 密 县 二 从 集 中 的 动 员 体

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 下 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 拟 在 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 上 市 的 公 司 首 次 公 开 发 行 股 票 网 下 发 行 业 务, 提 高 首 次 公 开 发 行 股 票 网 下 申 购 及 资 金 结 算 效 率, 根 据 证 券 发 行 与 承 销

More information

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的

类 似 地, 又 可 定 义 变 下 限 的 定 积 分 : ( ). 与 ψ 统 称 为 变 限 积 分. f ( ) d f ( t) dt,, 注 在 变 限 积 分 (1) 与 () 中, 不 可 再 把 积 分 变 量 写 成 的 形 式 ( 例 如 ) 以 免 与 积 分 上 下 限 的 5 ( 一 ) 微 积 分 学 基 本 定 理 当 函 数 的 可 积 性 问 题 告 一 段 落, 并 对 定 积 分 的 性 质 有 了 足 够 的 认 识 之 后, 接 着 要 来 解 决 一 个 以 前 多 次 提 到 过 的 问 题 在 定 积 分 形 式 下 证 明 连 续 函 数 必 定 存 在 原 函 数. 一 变 限 积 分 与 原 函 数 的 存 在 性 设 f 在 [,] 上

More information

课程类 别

课程类 别 美 声 演 唱 方 向 培 养 方 案 一 培 养 目 标 本 方 向 要 求 学 生 德 智 体 美 全 面 发 展, 培 养 能 在 文 艺 团 体 从 事 声 乐 演 唱 及 能 在 艺 术 院 校 从 事 本 方 向 教 学 的 高 级 门 人 才 二 培 养 规 格 本 方 向 学 生 应 系 统 掌 握 声 乐 演 唱 方 面 的 理 论 和 技 能, 具 备 较 高 的 声 乐 演 唱

More information

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2 Professional Accounting Education Provided by Academy of Professional Accounting (APA) CPA 财 务 管 理 习 题 班 第 八 讲 债 券 股 票 价 值 评 估 IreneGao ACCAspace 中 国 ACCA 国 际 注 册 会 计 师 教 育 平 台 Copyright ACCAspace.com

More information

张 荣 芳 中 山 大 学 历 史 系 广 东 广 州 张 荣 芳 男 广 东 廉 江 人 中 山 大 学 历 史 系 教 授 博 士 生 导 师 我 们 要 打 破 以 前 学 术 界 上 的 一 切 偶 像 以 前 学 术 界 的 一 切 成 见 屏 除 我 们 要 实 地 搜 罗 材 料 到 民 众 中 寻 方 言 到 古 文 化 的 遗 址 去 发 掘 到 各 种 的 人 间 社 会 去

More information

Template BR_Rec_2005.dot

Template BR_Rec_2005.dot ITU-R BT.1789 建 议 书 1 ITU-R BT.1789 建 议 书 在 分 组 视 频 传 输 中 利 用 传 输 误 码 信 息 重 建 接 收 视 频 的 方 法 (ITU-R 44/6 和 ITU-R 109/6 课 题 ) (2007 年 ) 范 围 本 建 议 书 对 业 务 提 供 商 重 建 接 收 视 频 的 方 法 做 了 详 细 介 绍, 以 便 利 用 传 输

More information

证券代码:000066 证券简称:长城电脑 公告编号:2014-000

证券代码:000066         证券简称:长城电脑        公告编号:2014-000 证 券 代 码 :000066 证 券 简 称 : 长 城 电 脑 公 告 编 号 :2016-092 中 国 长 城 计 算 机 深 圳 股 份 有 限 公 司 2016 年 度 第 三 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 其 董 事 会 全 体 成 员 保 证 信 息 披 露 内 容 的 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗

More information

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决 上 海 市 公 共 卫 生 硕 士 专 业 学 位 论 文 基 本 要 求 和 评 价 指 标 体 系 ( 试 行 ) 上 海 市 学 位 委 员 会 办 公 室 二 O 一 二 年 三 月 一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创

More information

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 国 家 职 业 标 准 1 可 编 程 序 控 制 系 统 设 计 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 序 控 制 系 统 设 计 师 1.2 职 业 定 义 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 系 统 进 行 设 计 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四

More information

一、资质申请

一、资质申请 二 工 程 监 理 企 业 资 质 有 关 问 答 111 什 么 样 的 企 业 可 以 在 本 省 申 请 工 程 监 理 企 业 资 质? 答 : 在 鄂 取 得 法 人 营 业 执 照 或 合 伙 企 业 营 业 执 照 的 企 业, 都 可 依 法 向 工 商 注 册 所 在 省 或 市 建 设 行 政 主 管 部 门 行 政 审 批 部 门 申 请 工 程 监 理 企 业 资 质 取 得

More information

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管 宝 汇 德 Turbocare 微 服 务 系 统 客 户 操 作 手 册 Version 2.0 北 京 宝 汇 德 技 术 服 务 器 有 限 公 司 技 术 研 发 部 目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理... 10 巡

More information

新, 各 地 各 部 门 ( 单 位 ) 各 文 化 事 业 单 位 要 高 度 重 视, 切 实 加 强 领 导, 精 心 组 织 实 施 要 根 据 事 业 单 位 岗 位 设 置 管 理 的 规 定 和 要 求, 在 深 入 调 查 研 究 广 泛 听 取 意 见 的 基 础 上, 研 究 提

新, 各 地 各 部 门 ( 单 位 ) 各 文 化 事 业 单 位 要 高 度 重 视, 切 实 加 强 领 导, 精 心 组 织 实 施 要 根 据 事 业 单 位 岗 位 设 置 管 理 的 规 定 和 要 求, 在 深 入 调 查 研 究 广 泛 听 取 意 见 的 基 础 上, 研 究 提 广 西 壮 族 自 治 区 人 事 厅 广 西 壮 族 自 治 区 文 化 厅 文 件 桂 人 发 2009 42 号 关 于 印 发 广 西 壮 族 自 治 区 文 化 事 业 单 位 岗 位 设 置 结 构 比 例 指 导 标 准 的 通 知 各 市 人 事 局 文 化 局, 区 直 各 部 门 ( 单 位 ): 根 据 人 事 部 印 发 的 事 业 单 位 岗 位 设 置 管 理 试 行 办

More information

上证指数

上证指数 上 证 与 修 正 方 法 一 ( 一 ) 计 算 公 式 1. 上 证 指 数 系 列 均 采 用 派 许 加 权 综 合 价 格 指 数 公 式 计 算 2. 上 证 180 指 数 上 证 50 指 数 等 以 成 份 股 的 调 整 股 本 数 为 权 数 进 行 加 权 计 算, 计 算 公 式 为 : 报 告 期 指 数 =( 报 告 期 样 本 股 的 调 整 市 值 / 基 期 )

More information

朱 丽 明 柯 美 云 周 丽 雅 袁 耀 宗 罗 金 燕 候 晓 华 陈 旻 湖 滥 用 安 非 他 命 会 增 加 得 心 脏 病 的 风 险 据 美 国 科 技 新 闻 网 报 道 根 据 纽 约 路 透 社 报 道 一 份 新 的 研 究 显 示 青 年 及 成 年 人 若 滥 用 安 非 他 命 会 增 加 得 心 脏 病 的 风 险 美 国 德 州 大 学 西 南 医 学 中 心

More information

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc 0 -------------------------------------------------------------------------------- 2 ----------------------------------------------------------------------------- 2 节 评 ----------------------------------------------------------------------------------------------------

More information

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2 公 开 上 海 市 城 乡 建 设 和 管 理 委 员 会 文 件 沪 建 管 2014 758 号 上 海 市 城 乡 建 设 和 管 理 委 员 会 关 于 印 发 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 的 通 知 各 区 县 建 设 和 交 通 委 员 会 : 为 进 一 步 加 强 对 建 设 工 程 施 工 现

More information

中 国 软 科 学 年 第 期!!!

中 国 软 科 学 年 第 期!!! 山 寨 模 式 的 形 成 机 理 及 其 对 组 织 创 新 的 启 示 山 寨 模 式 的 形 成 机 理 及 其 对 组 织 创 新 的 启 示 陶 厚 永 李 燕 萍 骆 振 心 武 汉 大 学 经 济 与 管 理 学 院 武 汉 大 学 中 国 产 学 研 合 作 问 题 研 究 中 心 湖 北 武 汉 北 京 大 学 经 济 研 究 所 光 华 天 成 博 士 后 工 作 站 北 京 本

More information

富士通将军空调机 诺可力®X系列 样本

富士通将军空调机 诺可力®X系列 样本 (URL) http://www.fujitsu-general.com/cn/mobi/support/how-to/split/inner/01_x-series/ 新 国 家 能 效 标 准 APF 级 闪 亮 登 场 完 全 取 代 柜 机, 使 空 间 更 宽 敞 完 全 取 代 柜 机, 使 空 间 更 宽 敞 节 能 运 行 凉 感 十 足 冷 风 不 直 接 吹 向 人 体 对 冷

More information

Microsoft Word - 文件汇编.doc

Microsoft Word - 文件汇编.doc 北 京 市 中 医 管 理 局 二 一 五 年 四 月 ... 1... 18 2015... 30 京 中 医 政 字 [2014]160 号 1 2 一 充 分 认 识 中 医 健 康 乡 村 建 设 工 作 的 重 要 意 义 二 建 立 健 全 工 作 保 障 机 制 2014 12 15 三 做 好 工 作 启 动 的 准 备 事 宜 1 2014 12 15 5-10 2014 12 15

More information

关于修订《沪市股票上网发行资金申购

关于修订《沪市股票上网发行资金申购 关 于 修 订 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 的 通 知 各 有 关 单 位 : 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 ( 修 订 稿 ) ( 见 附 件 ) 已 经 中 国 证 券 监 督 管 理 委 员 会 批 准, 现 将 修 订 所 涉 主 要 内 容 公 布 如 下 一 第 二 条 ( 二 ) 申 购 单 位 及 上 限 修 改

More information

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378>

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378> 上 海 德 载 中 怡 律 师 事 务 所 关 于 昂 华 ( 上 海 ) 自 动 化 工 程 股 份 有 限 公 司 二 〇 一 二 年 年 度 股 东 大 会 法 律 意 见 书 上 海 德 载 中 怡 律 师 事 务 所 上 海 市 银 城 中 路 168 号 上 海 银 行 大 厦 1705 室 (200120) 电 话 :8621-5012 2258 传 真 :8621-5012 2257

More information

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102 华 中 师 范 大 学 2016 年 接 收 校 内 外 优 秀 硕 士 研 究 生 调 剂 信 息 表 名 称 经 济 与 工 商 管 理 学 院 020101 政 治 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校 不 低 于 我 校 办 学 层 次 经 济 与 工 商 管 理 学 院 020105 世 界 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校

More information

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审 北 京 市 君 致 律 师 事 务 所 关 于 浪 潮 软 件 股 份 有 限 公 司 2015 年 度 股 东 大 会 的 法 律 意 见 书 致 : 浪 潮 软 件 股 份 有 限 公 司 北 京 市 君 致 律 师 事 务 所 ( 以 下 简 称 本 所 ) 受 浪 潮 软 件 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 的 委 托, 指 派 律 师 出 席 2016 年 4 月

More information

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术 住 房 和 城 乡 建 设 部 关 于 印 发 工 程 勘 察 资 质 标 准 的 通 知 建 市 [2013]9 号 各 省 自 治 区 住 房 和 城 乡 建 设 厅, 北 京 市 规 划 委, 天 津 上 海 市 建 设 交 通 委, 重 庆 市 城 乡 建 设 委, 新 疆 生 产 建 设 兵 团 建 设 局, 总 后 基 建 营 房 部 工 程 局, 国 务 院 有 关 部 门 建 设 司,

More information

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件 深 圳 市 场 首 次 公 开 发 行 股 票 网 下 发 行 实 施 细 则 ( 征 求 意 见 稿 ) 第 一 章 总 则 第 一 条 为 规 范 深 圳 市 场 首 次 公 开 发 行 股 票 网 下 发 行 行 为, 根 据 证 券 发 行 与 承 销 管 理 办 法 及 相 关 规 定, 制 定 本 细 则 第 二 条 本 细 则 所 称 网 下 发 行 是 指 首 次 公 开 发 行 股

More information

珠江钢琴股东大会

珠江钢琴股东大会 证 券 代 码 :002678 证 券 简 称 : 珠 江 钢 琴 公 告 编 号 :2015-038 广 州 珠 江 钢 琴 集 团 股 份 有 限 公 司 2015 年 年 度 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 :

More information

002 电 子 科 学 与 工 程 学 院 拟 招 生 150 人 联 系 人 : 周 老 师, 电 话 025-83492263 080901 物 理 电 子 学 电 路 分 析 电 磁 场 理 论 01 电 磁 物 理 与 微 波 电 子 学 02 光 子 学 与 光 电 技 术 03 微 纳

002 电 子 科 学 与 工 程 学 院 拟 招 生 150 人 联 系 人 : 周 老 师, 电 话 025-83492263 080901 物 理 电 子 学 电 路 分 析 电 磁 场 理 论 01 电 磁 物 理 与 微 波 电 子 学 02 光 子 学 与 光 电 技 术 03 微 纳 南 京 邮 电 大 学 2016 年 硕 士 研 究 生 招 生 专 业 目 录 001 通 信 与 信 息 工 程 学 院 拟 招 生 440 人 联 系 人 : 王 老 师, 电 话 :025-83492423 081001 通 信 与 信 息 系 统 科 目 01 移 动 通 信 与 无 线 技 术 02 无 线 数 据 与 移 动 计 算 03 下 一 代 通 信 网 络 技 术 数 字 信

More information

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # #

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # # 马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则 马 俊 峰 在 社 会 公 正 问 题 的 大 讨 论 中 罗 尔 斯 诺 齐 克 哈 耶 克 麦 金 泰 尔 等 当 代 西 方 思 想 家 的 论 述 被 反 复 引 用 和 申 说 而 将 马 克 思 恩 格 斯 等 经 典 作 家 的 观 点 置 于 一 种 被 忽 视 甚 至 被 忘 却 的 状 态 形 成 这 种

More information

第二讲 数列

第二讲   数列 Togisu XueD Persolized Eduio Developme Ceer 高 考 中 不 等 式 问 题 的 解 决 方 法 通 润 达 久 王 力 前 言 : 近 年 来 不 等 式 问 题 正 越 来 越 多 的 出 现 在 调 研 题 和 高 考 试 题 中 而 且 大 多 出 现 在 江 苏 高 考 的 填 空 压 轴 题 中 是 高 考 考 察 的 重 点 和 难 点 由 于

More information

中 中 中 中 部 中 岗 位 条 件 历 其 它 历 史 师 地 理 师 生 物 师 体 与 健 康 师 04 05 06 07 从 事 中 历 史 工 从 事 中 地 理 工 从 事 中 生 物 工 从 事 中 体 与 健 康 工 2. 课 程 与 论 ( 历 史 ); 2. 科 ( 历 史 )

中 中 中 中 部 中 岗 位 条 件 历 其 它 历 史 师 地 理 师 生 物 师 体 与 健 康 师 04 05 06 07 从 事 中 历 史 工 从 事 中 地 理 工 从 事 中 生 物 工 从 事 中 体 与 健 康 工 2. 课 程 与 论 ( 历 史 ); 2. 科 ( 历 史 ) 中 中 中 部 中 26 年 系 统 事 业 公 开 计 划 岗 位 条 件 历 其 它 数 师 英 语 师 物 理 师 02 0 从 事 中 数 工 从 事 中 英 语 工 从 事 中 物 理 工 2. 课 程 与 论 ( 数 ); 2. 科 ( 数 );. 数 ; 4. 基 础 数 ; 5. 计 算 数 ; 6. 概 率 论 与 数 理 统 计 ; 7. 应 用 数 ; 8. 数. 课 程 与

More information

5 436060469-B-002 行 政 处 罚 在 气 象 探 测 环 境 保 护 范 围 内 从 事 危 害 气 象 探 测 环 境 活 动 的 处 罚 中 华 人 民 共 和 国 气 象 法 第 三 十 五 条 第 一 款 第 二 项 6 436060469-B-003 行 政 处 罚 在

5 436060469-B-002 行 政 处 罚 在 气 象 探 测 环 境 保 护 范 围 内 从 事 危 害 气 象 探 测 环 境 活 动 的 处 罚 中 华 人 民 共 和 国 气 象 法 第 三 十 五 条 第 一 款 第 二 项 6 436060469-B-003 行 政 处 罚 在 权 力 清 单 目 录 部 门 ( 公 章 ): 填 表 日 期 :2015-8-10 代 码 权 力 类 型 职 权 名 称 法 定 依 据 工 作 流 程 工 作 时 限 实 施 主 体 承 办 科 室 1 436060469-A-001 行 政 许 可 防 雷 装 置 设 计 审 核 和 竣 工 验 收 国 务 院 对 确 需 保 留 的 行 政 审 批 项 目 设 定 行 政 许 可 的 决

More information

2 任 务 目 标 任 务 实 施 学 一 学 1.1.1 安 全 用 电 1. 安 全 用 电 的 意 义 2. 人 体 触 电 的 基 本 知 识 1 2 1mA 10 30mA 50mA 100mA 750ms 40 100Hz

2 任 务 目 标 任 务 实 施 学 一 学 1.1.1 安 全 用 电 1. 安 全 用 电 的 意 义 2. 人 体 触 电 的 基 本 知 识 1 2 1mA 10 30mA 50mA 100mA 750ms 40 100Hz 项 目 1 安 全 用 电 及 触 电 急 救 项 目 内 容 电 有 电 老 虎 之 称, 从 事 电 气 操 作 的 人 员 不 但 应 该 拥 有 一 定 的 操 作 技 能, 还 必 须 首 先 掌 握 进 行 电 气 作 业 时 的 人 身 安 全 电 气 消 防 与 触 电 急 救 常 识 本 项 目 的 主 要 内 容 有 : 安 全 用 电 常 识 节 约 用 电 的 意 义 和 措

More information

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 ¹ 改 革 开 放 年 来 人 口 流 动 规 模 持 续 增 加 对 我 国 社 会 经 济 的 持 续 发 展 起 到 了 重 要 作 用 为 全 面 了 解 我 国 流 动 人 口 生 存 状 况 准 确 把 握 流 动 人 口 发 展 规 律 和 趋 势 不 断 加 强 流 动 人 口 服 务 管 理 引 导 人 口 有 序 流 动 合 理 分 布 国 家 人 口 计 生 委 于 年 月 启

More information

<4D6963726F736F667420576F7264202D20B9D8D3DA32303135BDECB1CFD2B5C9FAC5C9C7B2B1A8B5BDB5C8D3D0B9D8B9A4D7F7B5C4CDA8D6AAA3A8323031352E362E38A3A92E646F63>

<4D6963726F736F667420576F7264202D20B9D8D3DA32303135BDECB1CFD2B5C9FAC5C9C7B2B1A8B5BDB5C8D3D0B9D8B9A4D7F7B5C4CDA8D6AAA3A8323031352E362E38A3A92E646F63> 山 东 农 业 大 学 学 生 工 作 处 通 知 山 农 大 学 通 字 2015 42 号 关 于 2015 届 毕 业 生 派 遣 报 到 等 有 关 工 作 的 通 知 根 据 教 育 部 山 东 省 人 力 资 源 和 社 会 保 障 厅 毕 业 生 就 业 政 策 有 关 规 定, 现 将 2015 届 毕 业 生 派 遣 报 到 及 离 校 后 就 业 手 续 办 理 等 有 关 工

More information

GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2

GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2 GONGZUO JUJIAO 宝 山 区 命 名 表 彰 领 军 人 才 第 七 批 拔 尖 人 才 和 青 年 尖 子 1 GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2 GONGZUO JUJIAO 旻 3 GONGZUO JUJIAO 宝 山 区 第 七 批 青 年 尖 子 名 单 4 GONGZUO JUJIAO 宝 山

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 行 为, 根 据 证 券 发 行 与 承 销 管 理 办 法 及 相 关 规 定, 制 定 本 细 则 第 二 条 通 过 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 交 易 系 统 并

More information

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位 新 股 网 上 网 下 发 行 实 施 细 则 问 答 上 交 所 2016-01-05 一 网 上 发 行 业 务 问 答 1. 本 次 修 改 的 主 要 内 容 是 什 么? 答 : 本 次 修 改 的 主 要 内 容 包 括 : 一 是 取 消 了 投 资 者 在 申 购 委 托 时 应 全 额 缴 纳 申 购 资 金 的 规 定, 明 确 了 投 资 者 应 根 据 最 终 确 定 的 发

More information

!!

!! 梁 运 文 霍 震 刘 凯 本 文 利 用 奥 尔 多 中 心 的 调 查 数 据 从 三 个 方 面 对 我 国 城 乡 居 民 财 产 分 布 状 况 进 行 了 详 细 的 实 证 分 析 首 先 刻 画 了 我 国 城 乡 居 民 财 产 分 布 的 总 体 统 计 特 征 然 后 从 财 产 构 成 出 发 对 我 国 城 乡 居 民 财 产 分 布 进 行 了 结 构 分 解 最 后 通

More information

2015-089:厦门安妮股份有限公司关于重大资产重组事项相关公告的更正公告+

2015-089:厦门安妮股份有限公司关于重大资产重组事项相关公告的更正公告+ 股 票 代 码 :002235 股 票 简 称 : 安 妮 股 份 编 号 :2015-089 厦 门 安 妮 股 份 有 限 公 司 关 于 重 大 资 产 重 组 事 项 相 关 公 告 的 更 正 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实 性

More information

Microsoft Word - 资料分析练习题09.doc

Microsoft Word - 资料分析练习题09.doc 行 测 高 分 冲 刺 练 习 题 资 料 分 析 ( 共 15 题, 参 考 时 限 10 分 钟 ) 材 料 题 - 1 2012 年 1 月 某 小 区 成 交 的 二 手 房 中, 面 积 为 60 平 方 米 左 右 的 住 宅 占 总 销 售 套 数 的 ( ) A.25% B.35% C.37.5% 长 沙 市 雨 花 区 侯 家 塘 佳 天 国 际 大 厦 北 栋 20 楼 第 1

More information

第 期 李 伟 等 用 方 法 对 中 国 历 史 气 温 数 据 插 值 可 行 性 讨 论

第 期 李 伟 等 用 方 法 对 中 国 历 史 气 温 数 据 插 值 可 行 性 讨 论 李 伟 李 庆 祥 江 志 红 使 用 插 值 方 法 对 已 经 过 质 量 控 制 和 均 一 化 的 年 月 年 月 中 国 全 部 基 本 基 准 站 气 温 资 料 逐 月 进 行 空 间 插 值 通 过 站 点 的 实 际 序 列 与 插 值 后 格 点 序 列 进 行 比 较 针 对 相 关 系 数 和 线 性 趋 势 等 多 个 量 来 检 验 方 法 对 气 候 资 料 插 值 的

More information

第1篇 道路桥梁工程技术核心专业课程标准及学习绩效考评体系

第1篇 道路桥梁工程技术核心专业课程标准及学习绩效考评体系 陕 西 铁 路 工 程 职 业 技 术 学 院 课 程 标 准 ( 适 用 建 筑 工 程 技 术 专 业 ) 课 程 名 称 : 单 位 工 程 施 工 组 织 设 计 执 笔 人 : 王 恒 博 审 定 人 : 编 制 时 间 : 年 月 日 陕 西 铁 路 工 程 职 业 技 术 学 院 制 表 二 〇 一 一 年 九 月 课 程 标 准 一 课 程 基 本 信 息 课 程 编 码 略 开 设

More information

书面申请

书面申请 2016 年 度 滨 州 市 地 方 史 志 办 公 室 部 门 预 算 目 录 第 一 部 分 部 门 概 况 一 主 要 职 能 二 部 门 预 算 单 位 构 成 第 二 部 分 2016 年 部 门 预 算 表 一 2016 年 收 支 预 算 总 表 ( 功 能 科 目 分 类 ) 二 2016 年 收 支 预 算 总 表 ( 经 济 科 目 分 类 ) 三 2016 年 收 入 预 算

More information

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用

2 熟 悉 Visual Basic 的 集 成 开 发 环 境 3 了 解 可 视 化 面 向 对 象 编 程 事 件 驱 动 交 互 式 开 发 等 基 本 概 念 4 了 解 Visual Basic 的 特 点 环 境 要 求 与 安 装 方 法 1 Visual Basic 开 发 应 用 Visual Basic 程 序 设 计 A 级 分 级 班 教 学 大 纲 ( 供 计 算 机 与 信 息 技 术 基 础 课 程 分 级 教 学 A 级 班 使 用 ) I 前 言 Visual Basic 程 序 设 计 课 程 是 一 门 计 算 机 语 言 基 础 课 程 通 过 对 该 课 程 的 学 习, 使 学 生 初 步 掌 握 Visual Basic 的 语 言 特 点, 掌

More information

伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 语 言 学 纲 要 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效

伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 语 言 学 纲 要 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效 伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 ......2 伊 犁 师 范 学 院 802 文 学 概 论 全 套 考 研 资 料 ......2 伊 犁 师 范 学 院 702 普 通 物 理 全 套 考 研 资 料 ......3 伊 犁

More information

01

01 Zebra Technologies 利 用 移 动 技 术 提 高 货 运 公 司 工 作 效 率 和 成 本 效 益 货 运 物 流 自 动 化 案 例 在 当 前 严 峻 的 经 济 形 势 下, 公 路 货 运 公 司 开 始 寻 求 提 高 效 率 降 低 运 营 开 支 和 保 留 客 户 的 有 效 途 径 然 而, 他 们 面 临 的 冷 酷 现 实 是 燃 料 价 格 难 以 预

More information

<4D6963726F736F667420576F7264202D20B2CEBFBC3232C6DAD1A7CFB0D3EBCBBCBFBCC4DAD2B3>

<4D6963726F736F667420576F7264202D20B2CEBFBC3232C6DAD1A7CFB0D3EBCBBCBFBCC4DAD2B3> - 1 - - 2 - - 3 - - 4 - - 5 - 做 四 个 全 面 的 宣 传 者 实 践 者 - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - 创 新 调 处 新 机 制 构 筑 稳 定 防 火 墙 - 21 - - 22 - - 23

More information

21 业 余 制 -- 高 起 专 (12 级 ) 75 元 / 学 分 网 络 学 院 学 生 沪 教 委 财 (2005)49 号 江 西 化 校 工 科 22 业 余 制 -- 高 起 专 (12 级 ) 70 元 / 学 分 网 络 学 院 学 生 沪 教 委 财 (2005)49 号 吉

21 业 余 制 -- 高 起 专 (12 级 ) 75 元 / 学 分 网 络 学 院 学 生 沪 教 委 财 (2005)49 号 江 西 化 校 工 科 22 业 余 制 -- 高 起 专 (12 级 ) 70 元 / 学 分 网 络 学 院 学 生 沪 教 委 财 (2005)49 号 吉 1 普 通 高 校 学 费 5000 元 / 学 年 一 般 专 业 2 普 通 高 校 学 费 5500 元 / 学 年 特 殊 专 业 3 普 通 高 校 学 费 10000 元 / 学 年 艺 术 专 业 4 中 德 合 作 办 学 15000 元 / 学 年 本 科 生 本 科 学 费 5 ( 含 港 澳 修 读 第 二 专 业 辅 修 专 业 及 学 位 学 费 不 超 过 选 读 专 业

More information

现 场 会 议 时 间 为 :2016 年 5 月 19 日 网 络 投 票 时 间 为 :2016 年 5 月 18 日 -2016 年 5 月 19 日 其 中 通 过 深 圳 证 券 交 易 所 交 易 系 统 进 行 网 络 投 票 的 时 间 为 2016 年 5 月 19 日 9:30-

现 场 会 议 时 间 为 :2016 年 5 月 19 日 网 络 投 票 时 间 为 :2016 年 5 月 18 日 -2016 年 5 月 19 日 其 中 通 过 深 圳 证 券 交 易 所 交 易 系 统 进 行 网 络 投 票 的 时 间 为 2016 年 5 月 19 日 9:30- 证 券 代 码 :300439 证 券 简 称 : 美 康 生 物 公 告 编 号 :2016-046 宁 波 美 康 生 物 科 技 股 份 有 限 公 司 2015 年 度 股 东 大 会 决 议 公 告 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 : 1 2016

More information

第2章 数据类型、常量与变量

第2章  数据类型、常量与变量 第 2 章 数 据 类 型 常 量 与 变 量 在 计 算 机 程 序 中 都 是 通 过 值 (value) 来 进 行 运 算 的, 能 够 表 示 并 操 作 值 的 类 型 为 数 据 类 型 在 本 章 里 将 会 介 绍 JavaScript 中 的 常 量 (literal) 变 量 (variable) 和 数 据 类 型 (data type) 2.1 基 本 数 据 类 型 JavaScript

More information

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品 100.12 2016 年 龙 岩 市 部 门 预 算 表 报 送 日 期 : 年 月 日 单 位 负 责 人 签 章 : 财 务 负 责 人 签 章 : 制 表 人 签 章 : 收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59

More information

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 1 复 试 流 程 2 复 试 考 查 形 式 02 03 3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 2 怎 样 给 导 师 留 下 良 好 的 第 一 印 象 把 握 进 门 时 机 1 面 试 中 穿 着 的 瞒 天 过 海 3 无 声 胜 有 声 的 肢 体 语 言 育 4 眼 睛 是 心

More information

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公 证 券 代 码 :300017 证 券 简 称 : 网 宿 科 技 公 告 编 号 :2016-053 网 宿 科 技 股 份 有 限 公 司 关 于 调 整 公 司 2015 年 股 票 期 权 激 励 计 划 激 励 对 象 股 票 期 权 数 量 和 行 权 价 格 的 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 没 有 虚 假 记

More information

·岗位设置管理流程

·岗位设置管理流程 实 施 岗 位 设 置 岗 位 设 置 编 码 受 控 状 态 执 行 心 门 行 政 人 力 资 控 制 门 总 经 办 源 各 职 能 门 行 政 人 力 资 源 总 经 办 总 经 理 根 据 公 司 发 展 战 略 进 行 职 能 分 解 和 机 构 设 置 工 作 分 析 根 据 人 力 资 源 规 划 确 定 编 制 意 见 职 责 划 分 与 岗 位 设 置 制 作 职 务 说 明 书

More information

附件1:

附件1: 附 件 5 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 硕 士 ( 控 制 工 程 领 域 ) 申 报 单 位 名 称 : 上 海 工 程 技 术 大 学 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 一 专 业 人 才 需 求

More information

2009—2010级本科课程教学大纲与课程简介格式

2009—2010级本科课程教学大纲与课程简介格式 机 电 传 动 控 制 A 教 学 大 纲 ( 一 ) 课 程 基 本 信 息 1. 课 程 代 码 :20134146 2. 课 程 名 称 ( 中 文 / 英 文 ): 机 电 传 动 控 制 /Mechatronic Control System 3. 课 程 类 别 : 专 业 主 修 课 4. 课 程 学 分 :2.5 5. 课 程 学 时 :48( 其 中, 授 课 学 时 :32; 实

More information

测 谱 学 基 础 宽 波 段 遥 感

测 谱 学 基 础 宽 波 段 遥 感 燕 守 勋 武 晓 波 周 朝 宪 刘 朝 晖 庄 永 成 曹 春 香 魏 欣 欣 于 彩 虹 肖 春 生 中 国 科 学 院 遥 感 应 用 研 究 所 北 京 有 色 金 属 矿 产 地 质 调 查 中 心 北 京 青 海 西 部 资 源 公 司 西 宁 青 海 青 海 省 地 质 调 查 院 西 宁 青 海 根 据 年 出 版 的 专 辑 近 年 来 发 表 的 相 关 文 献 以 及 研 究

More information

证监会行政审批事项目录

证监会行政审批事项目录 事 项 目 录 项 目 对 象 中 华 人 民 共 和 国 证 券 法 第 十 一 条 : 保 荐 人 的 资 格 及 其 管 理 办 法 由 国 务 院 证 券 监 督 管 理 机 构 规 定 44001 保 荐 机 构 注 册 国 务 院 对 确 需 要 保 留 的 项 目 设 定 的 决 定 ( 国 务 院 令 第 412 号 ) 附 件 第 383 项 保 荐 机 构 和 保 荐 代 表 人

More information

抗 日 战 争 研 究! 年 第 期 # # # # #!!!!!!!! #!!

抗 日 战 争 研 究! 年 第 期 # # # # #!!!!!!!! #!! 洪 小 夏 中 美 合 作 所 是 抗 战 时 期 中 美 两 国 在 反 法 西 斯 统 一 战 线 背 景 下 建 立 的 一 个 抗 日 军 事 合 作 机 构 但 过 去 由 文 学 影 视 作 品 给 人 造 成 的 印 象 似 乎 是 一 个 美 蒋 反 动 派 勾 结 的 集 中 营 中 共 十 一 届 三 中 全 会 以 后 逐 渐 有 人 为 其 正 名 但 长 期 宣 传 形 成

More information

作 为 生 产 者 式 文 本 的 女 性 主 义 通 俗 小 说 梅 丽 本 文 借 鉴 文 化 研 究 理 论 家 约 翰 费 斯 克 的 生 产 者 式 文 本 这 一 概 念 考 察 女 性 主 义 通 俗 小 说 的 文 本 特 征 写 作 策 略 和 微 观 政 治 意 义 女 性 主 义 通 俗 小 说 通 过 对 传 统 通 俗 小 说 的 挪 用 和 戏 仿 传 播 女 性 主 义

More information