CY8C20234, CY8C20334, CY8C20434, CY8C20534 PSoC(R) Mixed-Signal Array

Size: px
Start display at page:

Download "CY8C20234, CY8C20334, CY8C20434, CY8C20534 PSoC(R) Mixed-Signal Array"

Transcription

1 PSoC 5.0% 6/12 MHz CapSense 32 khz Capsense IO GPIO Harvard CMOS M8C 12 MHz 28 GPIO 2.4V 5.25V 1 IO -40 C +85 C 3.0V 1 20mA 1 5mA. 8K / 512 SRAM IO ISSP I 2 C: 50 khz 100 khz 400 khz SPI: 46.9 khz 3 MHz (PSoC Designer ) I 2 C SPI SPI 128K Port 3 Port 2 Port 1 Port 0 Config LDO PSoC PSoC CORE System Bus SRAM 512 Bytes Interrupt Controller SROM CPU Core (M8C) Global Analog Interconnect Flash 8K Sleep and Watchdog 6/12 MHz Internal Main Oscillator ANALOG CapSense SYSTEM Block Analog Ref. 12C I2C Slave/SPI POR and LVD Analog Master-Slave - System Resets Mux SYSTEM RESOURCES 198 Champion Court, CA **

2 PSoC 1. PSoC MCU PSoC ID AC PSoC CPU SRAM IO PSoC 3 Vr CapSense CY8C20x34 PSoC CapSense Reference Buffer Cinternal PSoC 28 GPIOGPIO MCU Comparator Mux Analog Global Bus PSoC Mux Refs PSoC M8C CPU SRAM Cap CapSense Sense Counters IMO ILO M8C 12 MHz M8C 2MIPS 8 Harvard CSCLK Relaxation I 2 CapSense IMO C Clock Oscillator Select (RO) (RO) /SPI - M8C CapSense PSoC 1 1.8V 28 CapSense GPIO CapSense GPIO CapSense IO IO >> DESIGN RESOURCES >> Application Notes. CapSense SNR 5: ** 2 34

3 LIN. I 2 C /SPI /100/400 khz 3 4 SPI 46.9 khz 3 MHz PSoC LVD PSoC PSoC POR PSoC CYPros 1.8V 5V 3V IO LDO PSoC PSoC PSoC Designer 4 IDE PSoC "PSoC " PSoC PSoC PSoC Designer Microsoft Windows Digi-Key Avnet PSoC Arrow Future C PSoC Designer IDE Windows NT 4.0 PSoC Windows 2000 Windows Millennium (Me) Windows XP PSoC Designer. PSoC PSoC Designer PSoC PSoC CPU CYASM PSoC PSoC PSoC Designer PSoC C ** 3 34

4 CY8C20534, CY8C20434 PSoC Designer 2. PSoC Designer Graphical Designer Context Designer Interface Sensitive Help C Importable Design Database Device Database Application Database Project Database User Modules Library Commands Results PSoC Designer Core Engine PSoC Configuration Sheet Manufacturing Information File C C PSoC C PSoC C C PSoC PSoC Designer PSoC IO CPU Emulation In-Circuit Device Pod Emulator Programmer PSoC Designer PSoC ADC DAC ICE USB PSoC Designer PSoC PC PSoC 1 PSoC 24 PSoC MHz PSoC Designer PSoC PSoC C PSoC ** 4 34

5 IO 3. Device Editor Placement User Source and Module Code PSoC Designer IDE Parameter Selection Generator -ization " " Generate Application Application Editor PSoC 8 Project Source Build Code Manager Manager Editor API API Build All API PSoC Designer IDE Debugger Event & Interface Storage ICE Breakpoint to ICE Inspector Manager GUI PSoC Designer PSoC IO C " " PSoC Designer "Grep " Build API Manager "makefile" 16 PSoC Designer 16 ICE ** 5 34

6 AC API CPU DC GPIO GUI ICE ILO IMO IO / LSb LVD MSb POR PPOR PSoC Programmable System-on-Chip ( ) SLIMO IMO SRAM 13 6 PSoC 16 "h" "14h" "3Ah" 16 C "0x" "b" " b" " b" "h" "b" 0x ** 6 34

7 CY8C20234 CY8C20334 CY8C20434 PSoC CY8C20x34 PSoC "P" IO Vss Vdd XRES IO CY8C PSoC AI, P2[5] AI, I2C SCL, SPI SS, P1[7] AI, I2C SDA, SPI MISO, P1[5] QFN 11 3 (Top View) AI, SPI CLK, P1[3] P0[1], AI P0[3], AI P0[7], AI Vdd AI, P2[1] P0[4], AI XRES P1[4], AI, EXTCLK P1[2], AI CLK, I2C SCL, SPI MOSI P1[1] Vss AI, DATA, I2C SDA, P1[0] (QFN [2] ) 1 IO I P2[5] 2 IO I P2[1] 3 IOH I P1[7] I 2 C SCL, SPI SS. 4 IOH I P1[5] I 2 C SDA, SPI MISO. 5 IOH I P1[3] SPI CLK. 6 IOH I P1[1] CLK [1], I 2 C SCL, SPI MOSI. 7 Vss 8 IOH I P1[0] DATA [1], I 2 C SDA. 9 IOH I P1[2] 10 IOH I P1[4] (EXTCLK). 11 XRES 12 IO I P0[4] 13 Vdd 14 IO I P0[7] 15 IO I P0[3] 16 IO I P0[1] A =, I =, O =, OH = 5 1. ISSP POR 2. QFN CP Vss ** 7 34

8 24-5. CY8C PSoC P0[3], AI P0[5], AI P0[7], AI Vdd AI, P2[5] AI, P2[3] AI, P2[1] AI, I2C SCL, SPI SS, P1[7] AI, I2C SDA, SPI MISO, P1[5] AI, SPI CLK, P1[3] QFN (Top View) P0[4], AI P0[2], AI P0[0], AI P2[0], AI XRES P1[6], AI AI, CLK*, I2C SCL SPI MOSI, P1[1] NC Vss AI, DATA*, I2C SDA, P1[0] AI, P1[2] AI, EXTCLK, P1[4] P0[1], AI P0[6], AI (QFN [2] ) 1 IO I P2[5] 2 IO I P2[3] 3 IO I P2[1] 4 IOH I P1[7] I 2 C SCL, SPI SS. 5 IOH I P1[5] I 2 C SDA, SPI MISO. 6 IOH I P1[3] SPI CLK. 7 IOH I P1[1] CLK [1], I 2 C SCL, SPI MOSI. 8 NC 9 Vss 10 IOH I P1[0] DATA [1], I 2 C SDA. 11 IOH I P1[2] 12 IOH I P1[4] (EXTCLK). 13 IOH I P1[6] 14 XRES 15 IO I P2[0] 16 IO I P0[0] 17 IO I P0[2] 18 IO I P0[4] 19 IO I P0[6] 20 Vdd 21 IO I P0[7] 22 IO I P0[5] 23 IO I P0[3] 24 IO I P0[1] CP Vss A =, I =, O =, OH = ** 8 34

9 28-6. CY8C PSoC A, I, M, P0[7] A, I, M, P0[5] A, I, M, P0[3] A, I, M, P0[1] M,P2[7] M,P2[5] M, P2[3] M, P2[1] Vss M,I2C SCL,P1[7] M, I2C SDA, P1[5] M,P1[3] M,I2C SCL,P1[1] Vss SSOP Vdd P0[6], A, I, M P0[4], A, I, M P0[2], A, I, M P0[0], A, I, M P2[6],M P2[4],M P2[2],M P2[0],M XRES P1[6],M P1[4], EXTCLK, M P1[2],M P1[0],I2C SDA, M (SSOP ) 1 IO I, M P0[7] 2 IO I, M P0[5] 3 IO I, M P0[3] 4 IO I, M P0[1] 5 IO M P2[7] 6 IO M P2[5] 7 IO I, M P2[3] 8 IO I, M P2[1] 9 Vss 10 IO M P1[7] I2C SCL 11 IO M P1[5] I2C SDA 12 IO M P1[3] 13 IO M P1[1] I2C (SCL), ISSP-SCLK [1]. 14 Vss 15 IO M P1[0] I2C (SDA), ISSP-SDATA [1]. 16 IO M P1[2] 17 IO M P1[4] (EXTCLK). 18 IO M P1[6] 19 XRES 20 IO I, M P2[0] 21 IO I, M P2[2] 22 IO M P2[4] 23 IO M P2[6] 24 IO I, M P0[0] 25 IO I, M P0[2] 26 IO I, M P0[4] 27 IO I, M P0[6] 28 Power Vdd A =, I =, O =, OH = ** 9 34

10 32-7. CY8C Pin PSoC Vss P0[3], AI P0[5], AI P0[7], AI Vdd P0[6], AI P0[4], AI P0[2], AI AI, P0[1] AI, P2[7] AI, P2[5] AI, P2[3] AI, P2[1] AI, P3[3] AI, P3[1] SPI SS, P1[7] AI, I2C SCL QFN (Top View) P0[0], AI P2[6], AI P2[4], AI P2[2], AI P2[0], AI P3[2], AI P3[0], AI XRES AI, I2C SDA, SPI MISO, P1[5] AI, SPI CLK, P1[3] AI, CLK*, I2C SCL, SPI MOSI, P1[1] Vss AI, DATA*, I2C SDA, P1[0] AI, P1[2] AI, EXTCLK, P1[4] AI, P1[6] (QFN [2] ) 1 IO I P0[1] 2 IO I P2[7] 3 IO I P2[5] 4 IO I P2[3] 5 IO I P2[1] 6 IO I P3[3] 7 IO I P3[1] 8 IOH I P1[7] I 2 C SCL, SPI SS. 9 IOH I P1[5] I 2 C SDA, SPI MISO. 10 IOH I P1[3] SPI CLK. 11 IOH I P1[1] CLK [1], I 2 C SCL, SPI MOSI. 12 Power Vss 13 IOH I P1[0] DATA [1], I 2 C SDA. 14 IOH I P1[2] 15 IOH I P1[4] (EXTCLK). 16 IOH I P1[6] 17 Input XRES 18 IO I P3[0] 19 IO I P3[2] 20 IO I P2[0] 21 IO I P2[2] 22 IO I P2[4] 23 IO I P2[6] 24 IO I P0[0] 25 IO I P0[2] 26 IO I P0[4] 27 IO I P0[6] ** 10 34

11 (QFN [2] ) (continued) 28 Vdd 29 IO I P0[7] 30 IO I P0[5] 31 IO I P0[3] 32 Vss CP Vss A =, I =, O =, OH = OCD 48 QFN CY8C20000 OCD PSoC : 8. CY8C20000 OCD PSoC NC Vss P0[3], AI P0[5], AI P0[7], AI OCDE OCDO Vdd P0[6], AI NC NC NC NC AI, P0[1] AI, P2[7] AI, P2[5] AI, P2[3] AI, P2[1] AI, P3[3] AI, P3[1] AI, I2C SCL, SPI SS, P1[7] AI, I2C SDA, SPI MISO, P1[5] NC NC OCD QFN (Top View) P0[4], AI P0[2], AI P0[0], AI P2[6], AI P2[4], AI P2[2], AI P2[0], AI P3[2], AI P3[0], AI XRES P1[6], AI P1[4], EXTCLK, AI NC NC AI, SPI CLK, P1[3] AI, CLK*, I2C SCL, SPI MOSI, P1[1] Vss CCLK HCLK AI, DATA*, I2C SDA, P1[0] AI, P1[2] NC NC NC OCD (QFN [2] ) 1 NC 2 IO I P0[1] 3 IO I P2[7] 4 IO I P2[5] 5 IO I P2[3] 6 IO I P2[1] 7 IO I P3[3] 8 IO I P3[1] 9 IOH I P1[7] I 2 C SCL, SPI SS. 10 IOH I P1[5] I 2 C SDA, SPI MISO ** 11 34

12 5. 48 OCD (QFN [2] ) (continued) 11 IO I P0[1] 12 NC 13 NC 14 NC 15 NC 16 IOH I P1[3] SPI CLK. 17 IOH I P1[1] CLK [1], I 2 C SCL, SPI MOSI. 18 Vss 19 CCLK OCD CPU 20 HCLK OCD 21 IOH I P1[0] DATA [1], I 2 C SDA. 22 IOH I P1[2] 23 NC 24 NC 25 NC 26 IOH I P1[4] (EXTCLK). 27 IOH I P1[6] 28 XRES 29 IO I P3[0] 30 IO I P3[2] 31 IO I P2[0] 32 IO I P2[2] 33 IO I P2[4] 34 IO I P2[6] 35 IO I P0[0] 36 IO I P0[2] 37 NC 38 NC 39 NC 40 IO I P0[6] 41 Vdd 42 OCDO OCD 43 OCDE OCD IO 44 IO I P0[7] 45 IO I P0[5] 46 IO I P0[3] 47 Vss 48 NC CP Power Vss A =, I =, O =, OH = ** 12 34

13 CY8C20534, CY8C20434 CY8C20234 CY8C20334 CY8C20434 PSoC o C T A 85 o C and T J 100 o C. 16 GPIO 5V 3.3V SLIMO IMO 9. CPU IMO SLIMO Mode=1 SLIMO Mode=1 SLIMO Mode=0 Vdd Voltage Valid Operating Region Vdd Voltage SLIMO SLIMO Mode=1 Mode=0 SLIMO Mode=1 SLIMO Mode=0 750 khz 3 MHz 6 MHz 12 MHz CPU Frequency 750 khz 3 MHz 6 MHz 12 MHz IMO Frequency 6" " 6. o C µw db ma ff ms Hz mv KB 1024 na Kbit 1024 ns khz nv kω W MHz pa MΩ pf µa pp µf ppm 100 µh ps µs sps µv s 1 µvrms V ** 13 34

14 7. 25oC 65oC T STG o C +25oC T A o C Vdd Vdd Vss V V IO Vss - Vdd + V V IOZ V Vss Vdd I MIO ma ESD 2000 V ESD. LU 200 ma 8. " T A o C T J o C 17 " ** 14 34

15 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V. 9. Vdd V "POR LVD " I DD12, IMO = 12 MHz ma Vdd = 3.0V, T A = 25 o C, CPU = 12 MHz. I DD6 IMO = 6 MHz ma Vdd = 3.0V, T A = 25 o C, CPU = 6 MHz. I SB27 POR LVD µa Vdd = 2.55V, 0 o C T A 40 o C. WDT I SB POR LVD µa Vdd = 3.3V, -40 o C T A 85 o C. WDT IO 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 10. GPIO 5V 3.3V R PU kω V OH1 Vdd - V IOH < 10 µa, Vdd > 3.0V, IO ma V OH Vdd V IOH = 1 ma, Vdd > 3.0V, IO 20 ma V OH3 Vdd - V IOH < 10 µa, Vdd > 3.0V, IO ma. V OH4 Vdd - V IOH = 5 ma, Vdd > 3.0V, IO ma V OH V IOH < 10 µa, Vdd > 3.1V, 4 IO 1 5 ma V OH6 2.2 V IOH = 5 ma, Vdd > 3.1V, IO 1 20 ma V OH V IOH < 10 µa, Vdd > 3.0V, IO ma V OH8 2.0 V IOH < 200 µa, Vdd > 3.0V, IO ma V OH V IOH < 10 µa V Vdd 3.6V. 0 o C TA 85 o C. IO 20 ma ** 15 34

16 10. GPIO 5V 3.3V V OH V IOH < 100 µa V Vdd 3.6V. 0 o C TA 85 o C. IO 20 ma. V OL 0.75 V IOL = 20 ma, Vdd > 3.0V, 60 ma P0[2] P1[4] 60 P0[3] P1[5] V IL 0.8 V 3.6V Vdd 5.25V. V IH 2.0 V 3.6V Vdd 5.25V. V H 140 mv I IL 1 na 1µ A C IN pf = 25oC C OUT pf = 25oC 11. GPIO 2.7V P1[4] R PU kω V OH1 Vdd - V IOH < 10 µa, IO ma V OH Vdd V IOH = 2 ma, IO 10 ma V OL 0.75 V IOL = 10 ma, 30 ma P0[2] 30 P0[3] P1[5]. V OLP1, V IOL=5 ma 50 ma P0[2] P3[4] 50 P0[3] P2[5] 2.4V Vdd < 3.6V. V IL 0.75 V 2.4V Vdd < 3.6V. V IH1 1.4 V 2.4V Vdd < 2.7V. V IH2 1.6 V 2.7V Vdd < 3.6V. V H 60 mv I IL 1 na 1 µa. C IN pf = 25 o C. C OUT pf = 25 o C ** 16 34

17 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 12. R SW 400 W Vdd 2.7V 800 W 2.4V Vdd 2.7V 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 13. V REFLPC LPC 0.2 Vdd 1 V I SLPC LPC µa V OSLPC LPC mv POR LVD 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 14. POR LVD V PPOR0 V PPOR1 V PPOR2 V LVD0 V LVD1 V LVD2 V LVD3 V LVD4 V LVD5 V LVD6 V LVD7 PPOR Vdd PORLEV[1:0] = 00b PORLEV[1:0] = 01b PORLEV[1:0] = 10b LVD Vdd VM[2:0] = 000b VM[2:0] = 001b VM[2:0] = 010b VM[2:0] = 011b VM[2:0] = 100b VM[2:0] = 101b VM[2:0] = 110b VM[2:0] = 111b [3] 2.78 [4] 2.99 [5] V V V V V V V V V V V Vdd 2.5V XRES 3. V PPOR (PORLEV = 00) 50 mv 4. V PPOR (PORLEV = 01) 50 mv 5. V PPOR (PORLEV = 10) 50 mv ** 17 34

18 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 15. Vdd IWRITE 2.70 V I DDP 5 25 ma V ILP 0.8 V V IHP 2.2 V I ILP Vilp 0.2 ma P1[0] P1[1] I IHP Vihp 1.5 ma P1[0] P1[1] V OLV Vss + V 0.75 V OHV Vdd Vdd V 1.0 Flash ENPB 50,000 / Flash ENT [6] 1,800,0 / 00 Flash DR x x x x x ** 18 34

19 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 16. GPIO 5V 3.3V F CPU1 CPU 3.3V MHz SLIMO =0 12 MHz F 32K khz F IMO12 12 MHz MHz 3.3V [7] 2-1b SLIMO = 0 F IMO6 6 MHz MHz 3.3V 2-1b SLIMO = 1 DC IMO IMO % T RAMP 0 µs T XRST 10 µs V F CPU1 CPU 2.7V MHz F 32K khz F IMO12 12 MHz MHz 3.3V [7] 2-1b SLIMO = 0 F IMO6 6 MHz MHz 2.7V 2-1b SLIMO = 1 DC IMO IMO % T RAMP 0 µs T XRST 10 µs V F CPU1 CPU 2.7V MHz F 32K khz F IMO12 12 MHz MHz 2.7V [7] 2-1b SLIMO = C Vdd=3.3V ** 19 34

20 V F IMO6 6 MHz MHz 2.7V 2-1b SLIMO = 1 DC IMO IMO % T RAMP 0 µs T XRST 10 µs IO V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 19. GPIO 5V 3.3V F GPIO GPIO 0 6 MHz 1 TRise023 Cload = 50 pf ns Vdd = V 4.75V V 10% -90% TRise1 Cload = 50 pf ns Vdd = V, 10% - 90% 1 TFall Cload = 50pF ns Vdd = V 4.75V 5.25V, 10% - 90% 20. GPIO 2.7V F GPIO GPIO MHz 1 TRise023 Cload = 50 pf ns Vdd = V, 10% - 90% TRise1 Cload = 50 pf ns Vdd = V, 10% - 90% 1 TFall Cload = 50pF ns Vdd = V, 10% - 90% 90% 10. GPIO GPIO Pin Output Voltage 10% TRise023 TRise1 TFall ** 20 34

21 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 21. T COMP 50 mv ns ns Vdd 3.0V. 2.4V < Vcc < 3.0V. 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 22. F SW 3.17 MHz 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 23. T RLPC LPC 50 µs 50 mv V REFLPC V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 24. 5V F OSCEXT MHz ns 38 ns IMO 150 µs ** 21 34

22 V F OSCEXT CPU MHz 3.3V CPU 12 MHz CPU 1 CPU ns CPU ns IMO 150 µs V ( ) 50% F OSCEXT CPU MHz 2.7V CPU 3 MHz CPU 1 F OSCEXT CPU MHz 3 MHz CPU 2 CPU CPU ns CPU ns IMO 150 µs V ( ) 50% F OSCEXT CPU MHz 2.7V CPU 6MHz CPU 1 F OSCEXT CPU MHz 6 MHz CPU 2 CPU CPU ns CPU ns IMO 150 µs 4.75V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 28. T RSCLK SCLK 1 20 ns T FSCLK SCLK 1 20 ns T SSCLK SCLK 40 ns T HSCLK SCLK 40 ns ** 22 34

23 28. F SCLK SCLK 0 8 MHz T ERASEB 15 ms T WRITE 30 ms T DSCLK SCLK 45 ns 3.6 < Vdd T DSCLK3 SCLK 50 ns 3.0 Vdd 3.6 T DSCLK2 SCLK 70 ns 2.4 Vdd 3.0 SPI V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 29. SPI 5V 3.3V F SPIM 6.3 MHz F SPIS 2.05 MHz T SS SS_ Negated 50 ns 30. SPI 2.7V F SPIM 3.15 MHz F SPIS MHz T SS SS_ Negated 50 ns ** 23 34

24 I 2 C V 5.25V -40 C T A 85 C, 3.0V 3.6V -40 C T A 85 C, 2.4V to 3.0V -40 C T A 85 C, 25 C 5V 3.3V 2.7V 31. Vdd 3.0V I2C SDA SCL F SCL I 2 C SCL khz T HDSTA I 2 C µs T LOW I 2 C SCL µs T HIGH I 2 C SCL µs T SUSTA I 2 C µs T HDDAT I 2 C 0 0 µs T SUDAT I 2 C [8] ns T SUSTO I 2 C µs T BUF I 2 C µs T SP I 2 C 0 50 ns 8. I 2 C I 2 C t SUDAT 250 ns SCL SCL SCL SDA trmax + tsu;dat = = 1250 ns ( I 2 C ) ** 24 34

25 V I 2 C SDA SCL ( ) F SCL I 2 C SCL khz T HDSTA I 2 C 4.0 µs T LOW I 2 C SCL 4.7 µs T HIGH I 2 C SCL 4.0 µs T SUSTA I 2 C 4.7 µs T HDDAT I 2 C 0 µs T SUDAT I 2 C 250 ns T SUSTO I 2 C 4.0 µs T BUF I 2 C 4.7 µs T SP I 2 C ns 11. I 2 C Bus / SDA T LOWI2C T SUDATI2C T HDSTAI2C T SPI2C T BUFI2C SCL T HDSTAI2C T HDDATI2C T HIGHI2C T SUSTAI2C T SUSTOI2C S Sr P S ** 25 34

26 CY8C20234, CY8C20334, CY8C20434, and CY8C20534 PSoC " " x3 mm x 0.6 QFN *D ** 26 34

27 (4x4 x 0.6 mm) QFN : *B *A (210- ) SSOP *C ** 27 34

28 (5x5 mm 0.60 ) QFN *A ** 28 34

29 (7x7 mm) QFN *A QFN 24-, 32-, 48- QFN PSoC ** 29 34

30 33. LED PSoC Express θ [9] JA 16 QFN 46 o C/W PSoC 24 QFN [10] 25 o C/W PSoC 28 SSOP [10] 96 o C/W 32 QFN [10] 27 o C/W PSoC Designer PSoC Express PSoC Programmer software is compatible 48 QFN [10] 28 o C/W with both PSoC ICE-Cube In-Circuit Emulator and PSoC MiniProg.PSoC PSoC ICE-Cube PSoC MiniProg PSoC t CY3202-C imagecraft C 34. CY3202 PSoC Designer [11] imagecraft C 16 QFN 240 o C 260 o C PSoC 24 QFN 240 o C 260 o C 28 SSOP 240 o C 260 o C 32 QFN 240 o C 260 o C 48 QFN 240 o C 260 o C. CY3215-DK CY3215-DK PSoC Designer PSoC Designer PSoC Designer PSoc Designer PSoC PSoC Designer CD PsoC 5 ICE-Cube PSoC PSoC Designer CY8C29x66 ICE Flex-Pod " " >> " 5 " Mini-Eval 110 ~ 240V PSoC Express imagecraft C PSoC PSoC Express ISSP PSoC USB 2.0 Blue 5 2 Y8C PXI 28-PDIP 9. T J = T A + Power x θ JA. 10. ** oC 245 5oC ** 30 34

31 CY3210-ExpressDK PSoC Express CY3210-ExpressDK PSoC Express CY3210-PSoCEval1 ICE-Cube MiniProg1 LCD I 2 C LED PSoC Express CD Express Development Board MiniProg Express 28 CY8C PXI PDIP PSoC 2 2 Proto PSoC Designer CD MiniProg MiniEval USB 2.0 CY3214-PSoCEvalUSB USB 2.0 DB9 CY3214-PSoCEvalUSB 110 ~ 240V CY8C LFXI PSoC USB 2 CY8C24423A-24PXI 28-PDIP LCD LED 2 CY8C PXI 28-PDIP 1 2 Y8C PXI 28-PDIP PSoCEvalUSB LCD MiniProg Mini USB PSoC Designer CD CY3210-MiniProg1 CY3210-MiniProg1 MiniProg1 PSoC MiniProg USB 2.0 PC MiniProg MiniEval 28 CY8C PXI PDIP PSoC 28 CY8C PXI PDIP PSoC PSoC Designer CD USB 2.0 CY3210-PSoCEval1 LCD CY3216 CY3216 MiniProg1 3 3 MiniProg PSoC Designer CD USB ** 31 34

32 CY3207ISSP ISSP CY3207ISSP MiniProg. CY3207ISSP PSoC CY3207 PSoC ISSP CD 110 ~ 240V USB Flex-Pod [13] [14] CY8C LKXI 16 SOIC - CY QFN-FK CY3210-0X34 - CY8C LQXI 24 QFN CY QFN CY QFN-FK CY3210-0X34 AS ML-6 CY8C PVXI 28 SSOP - CY SSOP-FK CY3210-0X34 - CY8C LKXI 32 QFN CY QFN CY QFN-FK CY3210-0X34 AS ML-6 3 PSoC OCD PSoC PSoC " " >> " - PSoC " Flex-Pod 2 Flex-pod Flex-pod DIP DIP ** 32 34

33 CY8C20234 CY8C20334 CY8C CY8C20534.PSoC 36. PSoC SRAM CapSense XRES [15] 16- (3x3 mm 0.60 CY8C LKXI 8K [15] 0 Yes ) QFN 16- (3x3 mm 0.60 CY8C LKXIT 8K [15] 0 Yes ) QFN ( / ) 24- (4x4 mm 0.60 CY8C LQXI 8K [15] 0 Yes ) QFN 24- (4x4 mm 0.60 CY8C LQXIT 8K [15] 0 Yes ) QFN ( / ) 28- (210- ) SSOP CY8C20534-PVXI 8K Yes 28- (210- ) CY8C20534-PVXIT 8K Yes SSOP( / ) 32- (5x5 mm 0.60 CY8C LKXI 8K [15] 0 Yes ) QFN 32- (5x5 mm 0.60 CY8C LKXIT 8K [15] 0 Yes ) QFN ( / ) 48- OCD QFN [16] CY8C LFXI 8K [15] 0 Yes CY -8---C-20--xxx xx 17. :.. : PX = PDIP. C= l SX = SOIC... I= PVX = SSOP... E = LFX = QFN LKX/LQX = QFN AX = TQFP 12 MHz C = CMOS 8 = Cyrpress PSoC CY = 15. IO ** 33 34

34 : CY8C20534,CY8C20434,CY8C20334,CY8C20234 PSoC : ECN ** HJIA SPEC / / **

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

1 6. ( 3 ) 軟 體 測 試 用 以 評 估 系 統 在 短 時 間 內 可 承 受 的 限 度, 此 種 測 試 常 被 稱 為 下 列 何 者? 1 黑 箱 測 試 ( B l a c k B o x T e s t i n g ) 2 迴 歸 測 試 ( R e g r e s s i

1 6. ( 3 ) 軟 體 測 試 用 以 評 估 系 統 在 短 時 間 內 可 承 受 的 限 度, 此 種 測 試 常 被 稱 為 下 列 何 者? 1 黑 箱 測 試 ( B l a c k B o x T e s t i n g ) 2 迴 歸 測 試 ( R e g r e s s i 103 年 度 11901 電 腦 軟 體 設 計 ( J A V A ) 乙 級 技 術 士 技 能 檢 定 學 科 測 試 試 題 本 試 卷 有 選 擇 題 80 題, 每 題 1. 2 5 分, 皆 為 單 選 選 擇 題, 測 試 時 間 為 100 分 鐘, 請 在 答 案 卡 上 作 答, 答 錯 不 倒 扣 ; 未 作 答 者, 不 予 計 分 准 考 證 號 碼 : 姓 名 : 單

More information

筆 已 知 的 資 料? 1 1 1 2 1 2 3 1 3 4 1 4 1 5. ( 1 ) T C P ( T r a n s m i s s i o n C o n t r o l P r o t o c o l ) 不 提 供 下 列 那 一 項 服 務? 1 最 小 頻 寬 保 證 ( M

筆 已 知 的 資 料? 1 1 1 2 1 2 3 1 3 4 1 4 1 5. ( 1 ) T C P ( T r a n s m i s s i o n C o n t r o l P r o t o c o l ) 不 提 供 下 列 那 一 項 服 務? 1 最 小 頻 寬 保 證 ( M 103 年 度 11902 電 腦 軟 體 設 計 ( C + + ) 乙 級 技 術 士 技 能 檢 定 學 科 測 試 試 題 本 試 卷 有 選 擇 題 80 題, 每 題 1. 2 5 分, 皆 為 單 選 選 擇 題, 測 試 時 間 為 100 分 鐘, 請 在 答 案 卡 上 作 答, 答 錯 不 倒 扣 ; 未 作 答 者, 不 予 計 分 准 考 證 號 碼 : 姓 名 : 單 選

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

MPLAB ICE PROMATE II MPLAB MPLAB ICE 2 3 Microchip MPLAB ICE ICSP PROMATE II AC44 MPLAB ICE MICROCHIP 2 Socket Module 3 4 4 (Transition Socket) SOIC SSOP PQFP TQFP PRO MATEII PRO MATEII DV73 PC ICD ICD2

More information

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配 磁 性 绝 对 值 编 码 器 GEL 2035 带 有 SSI 或 CANopen 接 口 LENORD +BAUER... automates motion. 技 术 信 息 版 本 09.13 概 述 紧 凑 设 计 的 磁 性 绝 对 值 触 感 器, 最 大 总 分 辨 率 为 24 位 带 一 个 高 容 量 锂 离 子 备 用 蓄 电 池 的 无 磨 损 电 子 变 速 箱 磁 阻 扫

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

(\244j\257d\276\307\274\351_201508021-C.indd_70%.pdf)

(\244j\257d\276\307\274\351_201508021-C.indd_70%.pdf) 1847-1852 1872 20 1 1896 8000 20 1896 1950 1 1896 1896 13 1900 1900 3 20 2 4 1910 1950 3 1911 1 2 3 4 1927 4 20 300 6 1906 1930 7 1911 5 1919 8 1914 9 1920 10 11 1902 200 6 12 1930 7 " # #! $! 14 15! "!

More information

T1028_Manual_KO_V3 0.pdf

T1028_Manual_KO_V3 0.pdf 2009 : 2009/09 PC Microsoft, MS-DOS, Windows, Windows Sound System Microsoft Corporation Intel, Atom Intel Corporation Sound Blaster, Sound Blaster ProCreative Technology I AC AC AC AC AC - 115 V/60 Hz

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

S7-1200 可编程控制器

S7-1200 可编程控制器 www.plcworld.cn 前 言 SIMATIC S7 系 统 手 册 产 品 概 述 1 安 装 2 PLC 概 念 3 设 备 配 置 4 编 程 概 念 5 编 写 指 令 6 PROFINET 7 点 对 点 (PtP) 通 信 8 在 线 和 诊 断 工 具 9 A 技 术 规 范 B 计 算 功 率 预 算 C 订 货 号 11/2009 A5E02486685-02 法 律 资

More information

Microsoft Word - 封面.doc

Microsoft Word - 封面.doc USB 系 统 体 系 中 国 电 力 出 版 社 致 谢 感 谢 各 位 参 与 MindShare 的 USB 入 门 课 程 的 工 程 师 他 们 的 意 见 和 见 解 非 常 有 价 值 感 谢 Don Coston 的 投 稿 特 别 感 谢 Tom 和 Nancy Shanley 的 关 心 和 支 持 关 于 本 书 MindShare 体 系 系 列 MindShare 体 系

More information

untitled

untitled ( OH ) Cd ( OH ) NiOOH + Cd + H O Ni + ( OH ) + Cd ( OH ) NiOOH + Cd O Ni + H O H O 1/48 H ( ) M NiOOH + MH Ni OH + ( OH ) + M NiOOH MH Ni + /48 3/48 4/48 4 6 8 5.6KΩ±1% 1/ 4W L N C7 1nF/50V F1 T.5A/50V

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

DR-7580/9080C 使用说明

DR-7580/9080C 使用说明 CANON ENGLISH 1 2006 CANON ELECTONICS INC. CANON ELECTONICS INC. 2 3 CANON ELECTONICS INC. 4 3 Windows Microsoft Windows NT / Canon Electronics Inc / 1. 2. 3. 4. 90 5. 6. DFARs 252.227-7103 (c) (1) (ii)far

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

STK2139 Datasheet

STK2139 Datasheet 太欣半導體股份有限公司 SYNTEK SEMICONDUCTOR CO., LTD. USB 2.0 Video Class PC Camera Controller STK2139 Datasheet Released Version: V1.4 Content STK2139 1 PRODUCT OVERVIEW... 4 2 FUNCTIONAL BLOCK DIAGRAM... 5 3 PRODUCT

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

第 一 章 UI 概 述 单 元 设 计 : 课 题 名 称 :UI 综 述 教 学 任 务 :1 UI 是 什 么? 2 UI 设 计 师 是 做 什 么 的? 3 UI 在 中 国 的 现 状 如 何? 4 数 据 时 代 的 用 户 体 验 (APP 视 觉 设 计 艺 术 ) 教 学 方 式

第 一 章 UI 概 述 单 元 设 计 : 课 题 名 称 :UI 综 述 教 学 任 务 :1 UI 是 什 么? 2 UI 设 计 师 是 做 什 么 的? 3 UI 在 中 国 的 现 状 如 何? 4 数 据 时 代 的 用 户 体 验 (APP 视 觉 设 计 艺 术 ) 教 学 方 式 浙 江 金 融 职 业 学 院 教 案 首 页 周 次 : 1 日 期 : 9.8-9.11 课 时 序 : 1-4 课 题 第 一 章 UI 概 论 教 学 目 的 要 求 1) UI 大 背 景 2) UI 是 什 么?UID 是 什 么? 3) UI 设 计 师 是 做 什 么 的? 4) UI 中 国 的 现 状 如 何? 重 点 UI 的 概 念 UI 在 中 国 的 发 展 现 状 难

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

$$() * * ) ) + + +, ) - ),,, ) ). /, ) ) ). /01(). /,,. / ) ), ) ), + + ) ), ) ) ) ) ), $ ( ) $ $ $ ( ) * $ $ * * (, -. -/01/. (, -. * $ ) ( + $ $ ( ) $ ** $ $ $ $ ** ** + $ ), $ $ ( )) * ( * + $ $ (

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

CA-C750К

CA-C750К 1 3 3 4 PC 4 USB 5 5 6 8 9 11 mediasync Manager?...13 mediasync Manager 15 25 38 39 41 41 DRM...44 Image Manager...44 47 49 49 50 50 51 51 51 52 / 52 A-B 53 MP3 53 /FM 54 FM 55 FM 55 BMP56 56 57 57 58

More information

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2

LED N700 OVP UVL UVL OVP N700 GPIB Ethernet/LAN USB 2.0 I/O 1 N700 2 Ethernet USB 2.0 GPIB 2 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP 3/2 3/4 3/4 SINUMERIK OP 010 3/5 SINUMERIK OP 010S 3/6 SINUMERIK OP 010C 3/7 SINUMERIK OP 012 3/8 SINUMERIK TP 012 3/9 SINUMERIK OP 015 3/10 SINUMERIK OP 015A 3/11 SINUMERIK TP 015A 3/12 SINUMERIK OP 030

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

SA054 Chinese user manual

SA054 Chinese user manual SA05404K SA05408K SA0546K Philips Philips Philips www.philips.com/welcome www.philips.com/welcome ii 3 2 6 2. 6 2.2 6 3 7 3. 7 3.2 8 3.3 8 3.4 9 3.4. USB 9 3.4.2 9 3.5 0 3.6 0 3.6. 0 3.6.2 0 3.6.3 0 4

More information

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4 DPI 620 - DPI 620 0.0025%rdg+0.002%FS Hart Win CE PDA USB IEEE 802.11g WIFI - PM 620 GE 2.5 kpa 100 MPa 0.005%FS DPI 620 3 - PV 62X - 95% 2 MPa - 95% 10 MPa - 0 100 MPa 3 3 www.ge-mcs.com 3 3 ma mv V AMC

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

循经指压疗法

循经指压疗法 循 经 指 压 疗 法 陈 玉 琴 0 自 序 我 没 有 进 过 医 学 院, 更 没 有 学 过 解 剖 学 我 是 一 个 自 学 中 医 的 人, 思 考 问 题 本 着 简 单 化 和 直 观 的 原 则 循 经 指 压 健 康 疗 法 就 是 我 二 十 年 实 践 的 心 得 体 会 愿 以 此 作 向 资 深 的 中 医 师 请 教, 尤 其 是 中 医 大 的 教 师, 如 果 你

More information

从 因 人 设 事 谈 起 一 部 文 学 作 品 ( 尤 其 是 长 篇 小 说 ) 的 结 构 至 关 重 要, 因 为 它 是 文 本 整 体 的 组 织 方 式 和 内 部 构 造, 既 是 形 式 又 是 内 容 ; 乃 是 表 达 主 题 最 有 效 的 艺 术 手 段 元 代 戏 曲

从 因 人 设 事 谈 起 一 部 文 学 作 品 ( 尤 其 是 长 篇 小 说 ) 的 结 构 至 关 重 要, 因 为 它 是 文 本 整 体 的 组 织 方 式 和 内 部 构 造, 既 是 形 式 又 是 内 容 ; 乃 是 表 达 主 题 最 有 效 的 艺 术 手 段 元 代 戏 曲 凤 头 猪 肚 豹 尾 凤 头 猪 肚 豹 尾 谈 死 水 微 澜 的 结 构 艺 术 艾 芦 摘 要 : 论 文 从 死 水 微 澜 的 人 物 和 场 景 描 写 入 手, 具 体 地 分 析 了 这 部 长 篇 小 说 的 艺 术 结 构, 同 时 针 对 以 往 研 究 者 的 某 些 观 点 提 出 了 不 同 的 见 解 ; 认 为 作 品 以 精 粹 见 长, 以 少 胜 多, 由 小

More information

Microsoft Word - HERBRECIPES《中國藥膳》.doc

Microsoft Word - HERBRECIPES《中國藥膳》.doc 中 國 藥 膳 僅 供 參 考, 請 勿 亂 服 若 欲 服 用, 自 行 負 責 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 藥 膳 系 列 總 目 錄 第 一 章 總 論 第 一 節 簡 介 第 二 節 特 點 1. 注 重 整 體, 辯 證 施 食 2. 防 治 兼 宜, 效 果 顯 著 3. 良 藥 可 口, 服 食 方 便 第 三 節 藥 膳 內 容 與 分 類

More information

毛主席的猪

毛主席的猪 在 孔 孟 之 乡 掘 孔 孟 后 裔 的 坟, 在 生 产 队 的 田 里 放 毛 主 席 的 猪, 也 只 有 知 青 才 有 这 " 特 权 " 吟 了 < 血 色 黄 昏 >, 叹 了 < 蹉 跎 岁 月 >, 再 哼 一 哼 知 青 生 活 中 那 千 韵 百 律 的 曲 曲 小 调 儿, 也 别 有 一 番 滋 味 在 心 头 扒 坟 梁 平 扒 坟, 是 当 地 老 百 姓 的 叫 法

More information