CMT2210LC CMT2210LC 低功耗 315/ MHz OOK 接收器 特性 工作频率 :315 / MHz OOK 解调 数据率 : kbps 灵敏度 :-109 dbm(3.0 kbps),0.1%ber 接收器带宽 :330 khz 最大可输入

Size: px
Start display at page:

Download "CMT2210LC CMT2210LC 低功耗 315/ MHz OOK 接收器 特性 工作频率 :315 / MHz OOK 解调 数据率 : kbps 灵敏度 :-109 dbm(3.0 kbps),0.1%ber 接收器带宽 :330 khz 最大可输入"

Transcription

1 低功耗 315/ MHz OOK 接收器 特性 工作频率 :315 / MHz OOK 解调 数据率 : kbps 灵敏度 :-109 dbm(3.0 kbps),0.1%ber 接收器带宽 :330 khz 最大可输入信号 :10 dbm 独立运行, 无需外部 MCU 控制 无需寄存器配置 电源电压 : V 低功耗 : MHz MHz 符合 RoHS 标准 SOP8 封装 说明 是一款超低功耗 高性能 独立 运行的 OOK 射频接收器, 适用于 ISM 频段 315/ MHz 无线应用 是一 款真正的即插即用型设备, 无需寄存器配置或 者手动调整, 通过选用 MHz 或 MHz 晶体, 该芯片便可以工作于 315 或 MHz 本芯片支持 1~5 kbps 的数据 率范围, 非常适合与基于编码器或 MCU 的低端 发射器配对使用 能在供电电压 为 1.8 至 3.6 V 之间可靠工作 当该芯片工作 315 MHz 时仅需 3.3 ma 电流便可实现 -109 dbm 的灵敏度 ; 而在 MHz 频点下仅需 3.8 ma 便可实现 -109 dbm 的灵敏度 该器件 采用 SOP8 封装, 以利于简单和低成本的生产 制造 接收器搭配 CMT211x 发射 器便能实现超低成本的射频应用 对于更高性 能的接收芯片需求, 用户可以选用 NextGenRF 系列中 CMT221xA 芯片 应用 低成本消费电子电器应用 家庭和楼宇自动控制 红外接收器替换 工业监测和控制 无线计量读取 无线照明控制系统 无线报警和安全系统 遥控门禁系统 (RKE) 订购信息 型号频率封装最小起订量 -ESR -ESB 315/ MHz 315/ MHz SOP8 / 编带 SOP8 / 管装 更多订购信息, 请参见第 13 页 SOP8 管脚排列图 2,500 片 1,000 片 无锡泽太微电子有限公司 CMOSTEK 版本 0.7 1/18 页

2 典型应用 ANT VDD C2 1 C0 2 GND VDD RFIN NC 8 7 L1 C1 DOUT 3 DOUT NC 6 4 SDN XIN 5 X1 图 1. 典型应用原理图 标号说明 表 / MHz 典型应用 BOM 值 ( 匹配到 50 Ω 天线 ) 值 ( 匹配到常用天线 ) 315MHz MHz 315MHz MHz 单位供应商 U1, 低功耗 315/ MHz OOK 接收器 CMOSTEK X1 ±20 ppm, SMD32*25 mm, 晶体 MHz EPSON L1 ±10%, 0603 叠层电感 nh Murata C1 ±0.25 pf, 0402 NP0, 50 V pf Murata C0 ±20%, 0402 X7R, 25 V uf Murata C2 ±20%, 0603 NP0, 50 V 1 1 nf Murata 版本 0.7 2/18 页

3 术语 本文所用到的术语描述如下 : AGC 自动增益控制 PC 个人计算机 AN 应用笔记 PCB 印刷电路板 BER 误比特率 PLL 锁相环 BOM 物料清单 PN9 伪随机数二进制序列 BSC 中心基本距离 POR 上电复位 BW 带宽 PUP 上电 DC 直流 QFN 四边形平面无引脚 EEPROM 电可擦除可编程只读存储器 RF 射频 ESD 静电释放 RFPDK RF 产品开发套件 ESR 等效串联电阻 RoHS 危害物质限用指令 IF 中频 RSSI 接收信号强度指示器 LNA 低噪声放大器 Rx 接收, 接收器 LO 本地振荡器 SAR 逐次逼近寄存器 LPOSC 低功耗振荡器 SOP 小外形封装 Max 最大 SPI 串口 MCU 微控制器单元 TH 阈值 Min 最小 Tx 发射, 发射器 MOQ 最小起订量 Typ 典型 NP0 具有温度补偿特性 VCO 压控振荡器 NC 未连接 XOSC 晶体振荡器 OOK 开关键控 XTAL/Xtal 晶体 版本 0.7 3/18 页

4 目录 1. 电气特性 推荐运行条件 绝对最大额定值 接收器规格 晶体振荡器 管脚描述 典型性能 典型应用原理图 功能描述 概述 解调方式, 频率及数据率 功能模块描述 射频前端与自动增益控制 中频滤波器 接收信号强度指示器 逐次逼近寄存器 晶体振荡器 频率综合器 订购信息 封装外形 顶部丝印 其它文档 文档变更记录表 联系方式 版本 0.7 4/18 页

5 1. 电气特性 V DD = 3.3 V,T OP = 25 C,F RF = MHz, 灵敏度是通过接收一个 PN9 序列及匹配至 50 Ω 阻抗下,0.1%BER 的标准下测得 除非另行声明, 所有结果都是在评估板 -EM V1.0 上测试得到 1.1 推荐运行条件 表 2. 推荐运行条件 参数 符号 条件 最小 典型 最大 单位 运行电源电压 V DD V 运行温度 T OP 电源电压斜率 1 mv/us 1.2 绝对最大额定值 [1] 表 3. 绝对最大额定值 参数符号条件最小最大单位 电源电压 V DD V 接口电压 V IN -0.3 V DD V 结温 T J 储藏温度 T STG 焊接温度 T SDR 持续至少 30 秒 255 [2] ESD 等级 人体模型 (HBM) -2 2 kv ma 备注 : [1]. 超过 绝对最大额定参数 可能会造成设备永久性损坏 该值为压力额定值, 并不意味着在该压力条 件下设备功能受影响, 但如果长时间暴露在绝对最大额定值条件下, 可能会影响设备可靠性 [2]. 是高性能射频集成电路, 对本芯片的操作和装配只应该在具有良好 ESD 保护的工作 台上进行 警告! ESD 敏感器件. 对芯片进行操作的时候应注意做好 ESD 防范措施, 以免芯 片的性能下降或者功能丧失 版本 0.7 5/18 页

6 1.3 接收器规格 表 4. 接收器规格 参数符号条件最小典型最大参数 频率范围 F RF F XTAL = MHz 315 MHz F XTAL = MHz MHz 数据率 DR 1 5 kbps F RF = 315 MHz, DR = 3 kbps, S dbm BER = 0.1% 灵敏度 F RF = MHz, DR = 3 S dbm kbps, BER = 0.1% 饱和输入电平 P LVL 10 dbm 工作电流 I DD315 F RF = 315 MHz 3.3 ma I DD F RF = MHz 3.8 ma 睡眠电流 I SHUTDOWN 60 na 频率综合器稳定时间 T LOCK 从 XOSC 稳定开始 150 us ±1 MHz, 连续波干扰 26 db 抗阻塞 输入 3 阶交调点 接收器带宽 BI ±2 MHz, 连续波干扰 37 db ±10 MHz, 连续波干扰 65 db IIP3 频率偏移在 1 MHz 和 2 MHz 的双音测试, 最大系统增益设置 -23 dbm BW 315 F RF = 315 MHz 240 khz BW F RF = MHz 330 khz 接收器启动时间 T START-UP 从上电到接收 3 ms 1.4 晶体振荡器 表 5. 晶体振荡器规格 参数符号条件最小典型最大参数 [1] F XTAL315 F RF = 315 MHz MHz 晶体频率 F XTAL F RF = MHz MHz [2] 晶体频率精度 ±20 ppm 负载电容 C LOAD 15 pf 晶体等效电阻 Rm 60 Ω [3] 晶体启动时间 t XTAL 400 us 备注 : [1]. 可以直接用外部参考时钟通过耦合电容驱动 XIN 管脚工作 外部时钟信号的峰峰值要求在 0.3 到 0.7 V 之间 [2]. 该值包括 (1) 初始误差 ;(2) 晶体负载 ;(3) 老化 ; 和 (4) 随温度的改变 可接受的晶体频率误差受限于接收机的带宽和与之搭配的发射器之间射频频率偏差 [3]. 该参数很大程度上与晶体相关 版本 0.7 6/18 页

7 2. 管脚描述 GND 1 8 RFIN VDD 2 7 NC DOUT 3 6 NC SDN 4 5 XIN 图 2. 管脚排列 表 6. 管脚描述 管脚号 管脚名称 I/O 描述 1 GND I 地 2 VDD I 电源输入 3 DOUT O 接收信号输出 4 SDN I 芯片开关控制输入, 拉低使能接收器工作, 拉高关闭接收机 5 XIN I 晶体振荡器输入, 或外部参考时钟输入 6, 7 NC -- 无连接, 悬空 8 RFIN I 射频信号输入至芯片 LNA 版本 0.7 7/18 页

8 灵敏度 (dbm) 灵敏度 (dbm) 灵敏度 (dbm) 灵敏度 (dbm) 电流 (ma) 电流 (ma) 3. 典型性能 电流 vs 电压 MHz 315 MHz 电压 (V) 电流 vs 温度 V V V 温度 ( ) 图 3. 电流 vs 电压, F RF = 315/ MHz, DR = 1 kbps 图 4. 电流 vs 温度, F RF = MHz, DR = 1 kbps 灵敏度 vs 电压 灵敏度 vs 温度 MHz MHz 电压 (V) MHz MHz 温度 (ºC) 图 6. 灵敏度 vs 电压, F RF = 315/ MHz, DR = 3 kbps, BER = 0.1% 灵敏度 vs 数据率 图 5. 灵敏度 vs 温度, F RF = 315/ MHz, V DD = 3.3 V, DR = 1 kbps, BER = 0.1% 灵敏度 vs. 误比特率 MHz MHz MHz MHz 数据率 (kbps) % 0.01% 0.10% 1.00% 10.00% % 误比特率 图 7. 灵敏度 vs 数据率, F RF = 315/ MHz, V DD = 3.3 V, BER = 0.1% 图 8. 灵敏度 vs 误比特率, F RF = 315/ MHz, V DD = 3.3 V, DR = 3 kbps 版本 0.7 8/18 页

9 4. 典型应用原理图 ANT VDD C2 1 C0 2 GND VDD RFIN NC 8 7 L1 C1 DOUT 3 DOUT NC 6 4 SDN XIN 5 X1 图 9. 典型应用原理图应用注意事项 : 1. 通用版图准则如下文所示 : 尽量用大片的连续地做铺地设计 晶体 X1 应尽量靠近芯片 摆放, 使晶体到芯片的连线尽量短 沿板边一周摆放尽量多的接地通孔, 以减少射频信号的辐射 C0, C2 尽量靠近 以实现更好滤波效果 晶体的金属外壳接地 2. 下表列出匹配至 50 Ω 天线和市场上其他常用天线典型应用的 BOM 3. 如需了解更多设计细节, 请参考 AN110 CMT221x-5x 原理图及 PCB 版图设计指南 标号说明 表 / MHz 典型应用的 BOM 值 ( 匹配到 50 Ω 天线 ) 值 ( 匹配到常用天线 ) 315MHz MHz 315MHz MHz 单位供应商 U1, 低功耗 315/ MHz OOK 接收器 CMOSTEK X1 ±20 ppm, SMD32*25 mm, 晶体 MHz EPSON L1 ±10%, 0603 叠层电感 nh Murata C1 ±0.25 pf, 0402 NP0, 50 V pf Murata C0 ±20%, 0402 X7R, 25 V uf Murata C2 ±20%, 0603 NP0, 50 V 1 1 nf Murata 版本 0.7 9/18 页

10 5. 功能描述 AGC RFIN LNA I-MXR Image Rejection Band-pass Filter RSSI I-LMT SAR OOK DEMOD AGC Radio Controller DOUT Q-MXR VCO Q-LMT LO GEN Loop Filter PFD/CP FXTAL VDD GND LDOs Bandgap POR DIVIDER XOSC SDN XIN 图 10. 功能模块图 5.1 概述 是一款低功耗 高性能 独立运行的 OOK 射频接收器, 适用于各种 315 / MHz 无线应用 该产品属于 CMOSTEK NextGenRF TM 系列, 该系列产品包括发射器 接收器和收发器等完整的产品系列 基于一个全集成, 低中频的接收器架构, 这种低中频架构有效的减少所需的外围元器件的数量, 从而降低了应用成本 该芯片数据解调在数字电路中完成, 解调后的数据信号通过 DOUT 引脚输出到外部 MCU 是一款真正的即插即用型芯片, 无需 MCU 控制或生产烧录, 装配好即可工作 从而显著简化了开发和生产工作 通过选择合适的晶体, 便可工作在 315 / MHz, 而且该芯片以其良好的带宽适应性能得以与大多数低端发射机配对工作 工作于 1.8 至 3.6 V 电源电压下,315 MHz 频率下接收电流仅为 3.3 ma, MHz 频率下接收电流仅为 3.8 ma 接收器可与 CMT211x 发射器配对工作实现超低成本的无线应用 5.2 解调方式, 频率及数据率 支持数据率从 1.0 到 5.0 kbps 的 OOK 解调 支持 315 及 MHz 附近的免费 ISM 频段 下表给出 的解调方式 频率及数据率的信息 版本 /18 页

11 表 8. 解调方式, 频率及数据率 参数 值 单位 解调方式 OOK - 频率 315 / MHz 数据率 kbps 5.3 功能模块描述 射频前端与自动增益控制 是一个采用低中频架构的 OOK 调整信号接收器 接收器的射频前端由一个低噪声放大器 (LNA) I / Q 混频器 (Mixer) 和一个宽带功率检测器组成 (WB Power Detector) 射频前端将天线上所感应的射频输入信号放大 下变频至中频, 以进行进一步处理 借助于射频前端的宽带功率检测器和射频衰减网络, 自动增益控制 (AGC) 环路通过调节射频前 端增益, 即使在带外强干扰的环境下也能获得最佳的系统线性度 选择性和灵敏度性能 仅需一个低成本电感和一个电容, 便可将 LNA 输入匹配至 50 Ω 或其他类型的天线 中频滤波器 射频前端来的信号, 经由集成的 3 阶带通镜像抑制滤波器进行滤波 当该器件工作在 MHz 时, 中频带宽为 330 khz 中心频率以及带宽会根据选用的晶体频率自动做相应比例的调整 接收信号强度指示器中频滤波器输出的信号, 经过后面的级联 I/Q 对数放大器放大后送入解调器进行解调 I/Q 两路对数放大器都包括有输入信号强度指示器 (RSSI), 这些指示器在 I/Q 路径内产生与输入信号幅度成正比的直流电平 这两条路径的电平之和被用作接收信号强度的指示, 有超过 66 db 的动态范围 逐次逼近寄存器 内的 8-bit ADC 将 RSSI 的输出转化为数字型号, 以便进行 OOK 信号解调 晶体振荡器 采用的是单端的晶体振荡电路, 其所需的负载电容集成于芯片内 推荐的晶体为 / MHz, 精度为 ±20 ppm, 等效电阻 (ESR) < 60 Ω, 负载电容 (C LOAD ) 为 15 pf 为了节省外部负载电容, 晶体振荡所需的负载电阻集成于 芯片内 如果应用系统中有一个合适的时钟源 (RCLK) 可作为 的参考时钟, 用户可以将其 版本 /18 页

12 通过隔直电容驱动芯片的 XIN 管脚 这将省去一颗晶体, 进一步降低系统成本 推荐的 RCLK 幅度 为 0.3 到 0.7 V 的峰峰值 ( 在 XTAL 管脚处 ) 频率综合器频率综合器用于产生下变频 I/Q 混频器所需的本振 (LO) 频率 通过晶体或者外部时钟源提供的 MHz 或者 MHz 的基准时钟, 频率综合器可以产生 315 / MHz 的工作频率 内部的高频谱纯度 VCO 工作于 2 倍的 LO 频率, 无需片外电感, 芯片上电便可稳定的使芯片工作于各种条件下, 进一步节省了系统功耗及杂散辐射 版本 /18 页

13 6. 订购信息 表 9. 订购信息 产品型号描述封装包装运行条件 -ESR [1] -ESB [1] 备注 : 低功耗 315/ MHz OOK 接收器 低功耗 315/ MHz OOK 接收器 SOP8 SOP8 编带盘装 管装 [1]. E 代表扩展型工业产品等级, 其支持的温度范围是从 -40 到 +85 S 代表 SOP8 的封装类型 1.8 to 3.6 V, -40 to to 3.6 V, -40 to 85 最小订购量 / 整数倍 2,500 1,000 R 代表编带及盘装类型, 最小起订量 (MOQ) 是 2,500 片 ; B 代表管装类型, 最小订购量是 1,000 片 如果 -ESR 不能满足应用要求, 客户可以订购 CMT2210A 以便用 RFPDK 做定制化的参数 配置 如需了解更多产品及产品线信息, 请访问 有关采购或价格需求, 请联系 sales@cmostek.com 或者当地销售代表 版本 /18 页

14 7. 封装外形 的封装 SOP8 的相信封装信息如下图及下表所示 D A3 A2 A h 0.25 A1 c θ L L1 E1 E b e 图 11. SOP8 封装 表 10. SOP8 封装尺寸 符号 尺寸 ( 毫米 mm) 最小值典型值最大值 A A A A b c D E E e 1.27 BSC h L L BSC θ 0-8 版本 /18 页

15 8. 顶部丝印 YYWW 图 12. 顶部丝印 表 11. 顶部丝印说明 丝印方式管脚 1 标记字体高度字体宽度第一行丝印第二行丝印 激光 圆圈直径 =1 mm 0.6 mm, 右对齐 0.4 mm, 代表型号 YYWW 是封装厂制定的日期编号 YY 代表年份的最后 2 位数,WW 代表工作周 是内部追踪号 版本 /18 页

16 9. 其它文档 表 12. 相关其它文档 文档号文档名称描述 AN107 AN110 CMT221x Schematic and PCB Layout Design Guideline CMT221x-5x 原理图及 PCB 版图设计指南 CMT2210/13/17/19A 和 CMT2210L/Lx PCB 原理图和版图设计规则,RF 匹配网络和其他版图设计相关的设计注意事项, 英文版 CMT221x 及 CMT225x PCB 原理图和版图设计规则,RF 匹配网络和其他版图设计相关的设计注意事项, 中文版 版本 /18 页

17 10. 文档变更记录表 表 13. 文档变更记录表 版本号 章节 变更描述 日期 0.6 所有 初始发布版本 所有 增加对 315 MHz 的支持 版本 /18 页

18 11. 联系方式 无锡泽太微电子有限公司深圳分公司 中国广东省深圳市南山区前海路鸿海大厦 203 室 邮编 : 电话 : 传真 : 销售 : sales@cmostek.com 技术支持 : support@cmostek.com 网址 : Copyright. CMOSTEK Microelectronics Co., Ltd. All rights are reserved. The information furnished by CMOSTEK is believed to be accurate and reliable. However, no responsibility is assumed for inaccuracies and specifications within this document are subject to change without notice. The material contained herein is the exclusive property of CMOSTEK and shall not be distributed, reproduced, or disclosed in whole or in part without prior written permission of CMOSTEK. CMOSTEK products are not authorized for use as critical components in life support devices or systems without express written approval of CMOSTEK. The CMOSTEK logo is a registered trademark of CMOSTEK Microelectronics Co., Ltd. All other names are the property of their respective owners. 版本 /18 页

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

Microsoft PowerPoint - ............2003

Microsoft PowerPoint - ............2003 手 机 设 计 概 述 ( 一 ) 目 录 数 字 手 机 原 理 框 图 手 机 供 电 系 统 框 图 GSM 手 机 设 计 CDMA 手 机 设 计 手 机 功 率 放 大 器 设 计 手 机 电 路 组 成 Base-Band Subsystem (Digital) RF Subsystem (Analog) Antenna 占 1/3 占 2/3 数 字 手 机 原 理 框 图 手 机

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 ROHS 规范 HT647PL 封装 :H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备 各类核磁共振仪器 粒子加速器

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

AGND DGND DVDD GPIO3 GPIO1 GPIO2 XO XI CMT2119B +20 dbm 大功率 Sub-1GHz 射频发射器 特性 频率范围 :127 至 1020 MHz 调制解调方式 :OOK, (G)FSK 和 (G)MSK 数据率 : 0.5 至 300 kbps 电

AGND DGND DVDD GPIO3 GPIO1 GPIO2 XO XI CMT2119B +20 dbm 大功率 Sub-1GHz 射频发射器 特性 频率范围 :127 至 1020 MHz 调制解调方式 :OOK, (G)FSK 和 (G)MSK 数据率 : 0.5 至 300 kbps 电 AGND DGND DVDD GPIO3 GPIO1 GPIO2 XO XI +20 dbm 大功率 Sub-1GHz 射频发射器 特性 频率范围 :127 至 1020 MHz 调制解调方式 :OOK, (G)FSK 和 (G)MSK 数据率 : 0.5 至 300 kbps 电压范围 :1.8 至 3.6 V 发射电流 : 23 ma @ 13 dbm, 433.92 MHz, FSK 72 ma

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司

公 司 简 介 主 营 业 务 构 成 及 募 投 项 目 比 亚 迪 创 立 于 1995 年, 最 初 从 事 的 是 手 机 电 池 制 造 业 务,23 年 24 年 分 别 进 入 汽 车 和 手 机 部 件 及 组 装 业 务 同 时, 基 于 其 先 进 的 电 池 技 术, 公 司 中 国 A 股 市 场 公 司 研 究 汽 车 及 零 部 件 Autos&Auto Parts 比 亚 迪 (2594) 新 能 源 技 术 变 革 及 应 用 的 领 导 者 211 年 6 月 24 日 Type your comment here 市 场 数 据 211 年 6 月 24 日 当 前 价 格 ( 元 ) - 52 周 价 格 区 间 ( 元 ) - 总 市 值 ( 百 万 )

More information

目 录 安 全 注 意 事 项 ,3 设 计 注 意 事 项

目 录 安 全 注 意 事 项 ,3 设 计 注 意 事 项 文 件 No. 使 用 说 明 书 冷 却 阀 产 品 名 称 SGC/SGH 系 列 型 式 / 系 列 / 型 号 目 录 安 全 注 意 事 项 ------------------------------------------------------------- 2,3 设 计 注 意 事 项 -------------------------------------------------------------

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

1 目 的 为 维 护 国 内 政 企 市 场 良 好 的 市 场 秩 序, 加 强 对 窜 货 等 重 大 违 规 行 为 的 管 理, 特 在 2016 年 中 兴 通 讯 国 内 政 企 市 场 窜 货 管 理 办 法 基 础 上 制 定 本 管 理 办 法 本 管 理 办 法 适 用 于 中

1 目 的 为 维 护 国 内 政 企 市 场 良 好 的 市 场 秩 序, 加 强 对 窜 货 等 重 大 违 规 行 为 的 管 理, 特 在 2016 年 中 兴 通 讯 国 内 政 企 市 场 窜 货 管 理 办 法 基 础 上 制 定 本 管 理 办 法 本 管 理 办 法 适 用 于 中 中 兴 通 讯 国 内 政 企 市 场 渠 道 伙 伴 窜 货 管 理 办 法 2016 All rights reserved. No distribution without prior permission of ZTE. 1 1 目 的 为 维 护 国 内 政 企 市 场 良 好 的 市 场 秩 序, 加 强 对 窜 货 等 重 大 违 规 行 为 的 管 理, 特 在 2016 年 中 兴

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63>

<4D F736F F D20B5FEB2E3C6ACCABDCDA8D3C3B4C5D6E94D474742CFB5C1D02E646F63> FEATURES 特点 Multilayer monolithic construction yields high reliability 独石结构 高可靠性 Excellent solderability and heat resistance for either flow or reflow soldering 良好的可焊性和耐焊性 Substantial EMI suppression over

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

流離所愛(完結篇)

流離所愛(完結篇) 作 者 Catabell 筆 名 琉 璃, 有 著 ㆒ 切 兒 矛 盾 的 特 質 : 任 性 好 奇 懶 惰 聰 穎 驕 恣 ; 感 情 細 膩 甚 略 嫌 豐 富 倔 強 但 易 受 傷 害 喜 歡 孤 獨 卻 害 怕 寂 寞 我 行 我 素 但 依 賴 溫 柔 卻 又 剛 強 於 香 港 文 大 學 主 修 英 文,2000 畢 業, 現 職 編 輯, 但 決 以 談 戀 愛 為 終 身 職

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

电源管理类产品

电源管理类产品 CN705/706/707/708/813 CN705/706/707/708/813 CN705/706/707/708/813 5.0 0.1 1.22 5V 52 CN705/706/707/708/813 CN705/706/813 1.6 CN707/708 CN813 8 DIP8 8 CN705/706 CN705/706/707/708/813 8 MR 1 DIP8 8 SOP8

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M

HM705/6/813 WDI WDO MR GND I/O NMI INT MCU 1 HM V HM V HM813L 4.65V HM V HM V HM813M 705/706/707/708/813 HM705/706/707/708/813 1.15 HM705/706/707/708/813 2.6 5.0 0.1 1.22 5V 52 200 HM705/706/707/708/813 1.6 HM705/706/813 1.6 705/706/707/708/813 HM707/708 HM813 8 DIP8 8 SOP8 HM705/706 :

More information

Microsoft Word - Sears Guidebook _Chinese_ _8.24.05_.doc

Microsoft Word - Sears Guidebook _Chinese_ _8.24.05_.doc 公 司 采 购 准 则 指 南 Sears Buying Policy Guidebook Version 2 1 2002 年 6 月 Sears 公 司 各 供 应 商 及 生 产 厂 家 : 2001 年 1 月,Sears 公 司 Roebuck 公 司 和 Sears 公 司 加 拿 大 公 司 修 订 了 Sears 公 司 采 购 准 则, 在 工 作 时 间 工 资 支 付 及 禁

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

untitled

untitled Answers for energy. - aplc) dplc aplc dplc - TCP/IP TCP/IP 2 24 khz 1000 khz 2 32 khz 256 kbit/s 32 khz 20/50/100 W X.212 RS2328 TCP/IP21 E1 (2 Mbit/s) G703.1 64 kbit/s VF (VFM, VFO, VFS), 8 RS2324 FSK

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图

凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 幼 儿 培 育 署 婴 幼 儿 培 育 框 架 凡 事 之 始 是 工 作 至 关 重 要 的 一 部 分 柏 拉 图 婴 幼 儿 培 育 框 架 婴 幼 儿 培 育 框 架 2013 Early Childhood Development Agency Republic of Singapore All rights reserved. No part of this book may be reproduced

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

Si4731-DEMO User's Guide

Si4731-DEMO User's Guide Si4731 演 示 板 使 用 手 册 1. 特 性 支 持 全 球 范 围 内 的 所 有 FM 频 带, 76 108 MHz 支 持 全 球 范 围 内 的 所 有 AM 频 带, 520 1710 khz 自 动 搜 索 / 全 频 带 扫 描 同 时 支 持 48 个 FM 和 48 个 AM 电 台 存 储 FM 无 线 数 据 服 务 (RDS) 解 码 功 能 (Pi Pty Ps

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

Toa Payoh Central P.O.Box 163 Singapore 913106, Republic of Singapore branchbooks@gmail.com www.branchbooks.org 1992, 1999, 2001, 2005 熱身 1 Invitation to Talk About the Meaning of Life Copyright Huang

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

BSI Certificate

BSI Certificate ENVIRONMENTAL MANAGEMENT SYSTEM - ISO 14001:2004 This is to certify that: Delta Electronics Power () Co., Ltd. 台达电子电源 ( 东莞 ) 有限公司中国广东省东莞市石碣镇台达工业区邮编 : Holds Certificate No: EMS 573786 and operates an Environmental

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

¬¬

¬¬ 2 年 第 9 周 2.2.2-2.2.27 26 年 第 7 周 : 受 春 节 影 响, 一 二 级 市 场 无 供 应 成 交 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 供 应 26 年 第 7 周 (26 年 2 月 8 日 26 年 2 月 4 日 ) 哈 尔 滨 市 无 土 地 成 交 26 年 第 7 周 (26 年 2

More information

<BBB6D3ADB7C3CECABFC6D1A7CEC4BBAFC6C0C2DB>

<BBB6D3ADB7C3CECABFC6D1A7CEC4BBAFC6C0C2DB> 1 of 5 7/18/2010 2:35 PM 联 系 管 理 员 收 藏 本 站 中 国 科 学 院 自 然 科 学 史 研 究 所 首 页 期 刊 介 绍 创 刊 寄 语 编 委 成 员 往 期 下 载 论 坛 网 络 资 源 12th ICHSC [ 高 级 ] 现 在 位 置 : 首 页 > 期 刊 文 章 小 中 大 打 印 关 闭 窗 口 PDF 版 查 看 桃 李 不 言, 下 自

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

昂宝电子LED驱动方案介绍.ppt

昂宝电子LED驱动方案介绍.ppt 55 639666 0755-29473986 83011898 83218846 0755-29473996 82861919 2007 IC MICROWELL MOSFET 1 2)1300X MOSFET 3ST Fairchild MOSFET 2 1 MOSFET 6 2 11 8 3FAE 5 FAE FAE LED LED OB3390MP SOT23-5 1-5W LED (PSR)

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 ------------------------------------------------------------------------------------------------------------------------------------

More information

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ).

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ). TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 )... 2 INTERFACE DEFINITION( 接口定义 )... 3 CONTROLLER DIMENSIONS(

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information