第 9 章 ZedBoard 入门 前面大家已经对 ZYNQ 架构以及相应的开发工具有一定的认识, 接下来我们将带领大家来一起体验 ZYNQ, 体验软硬件协同设计的魅力 由于时间的关系, 下面的一些实验 ( 本章及后续章节的实验 ) 可能有不完善的地方, 欢迎读者向我们反馈 9.1 跑马灯 本实验将

Size: px
Start display at page:

Download "第 9 章 ZedBoard 入门 前面大家已经对 ZYNQ 架构以及相应的开发工具有一定的认识, 接下来我们将带领大家来一起体验 ZYNQ, 体验软硬件协同设计的魅力 由于时间的关系, 下面的一些实验 ( 本章及后续章节的实验 ) 可能有不完善的地方, 欢迎读者向我们反馈 9.1 跑马灯 本实验将"

Transcription

1 第 9 章 ZedBoard 入门 前面大家已经对 ZYNQ 架构以及相应的开发工具有一定的认识, 接下来我们将带领大家来一起体验 ZYNQ, 体验软硬件协同设计的魅力 由于时间的关系, 下面的一些实验 ( 本章及后续章节的实验 ) 可能有不完善的地方, 欢迎读者向我们反馈 9.1 跑马灯 本实验将指导大家使用 Vivado 集成设计环境创建本书的第一个 Zynq 设计 这里, 我们使用跑马灯这个入门实验来向大家介绍 Vivado IDE 的 IP Integrator 环境, 并在 Zedboard 上实现这个简单的 Zynq 嵌入式系统 之后, 我们将会使用 SDK 创建一个简单的软件应用程序, 并下载到 Zynq 的 ARM 处理器中, 对在 PL 端实现的硬件进行控制 本实验我们分为三个小节来向大家进行介绍 : 第一节我们将使用 Vivado IDE 创建一个工程 在第一节的基础上, 第二节我们将继续构建一个 Zynq 嵌入式处理系统, 并将完成后的硬件导入到 SDK 中进行软件设计 最后一节我们将使用 SDK 编写 ARM 测试应用程序, 并下载到 ZedBoard 上进行调试 实验环境 :Windows 7 x64 操作系统, Vivado2013.4,SDK Vivado 工程创建 1) 我们可以通过双击桌面 Vivado 快捷方式, 或者浏览 Start > All Programes > Xilinx Design Tools > Vivado > Vivado 来启动 Vivado. 2) 当 Vivado 启动后, 我们可以看到图 9-1 的 Getting Started 页面 图 9-1 Vivado 开始界面

2 3) 选择 Create New Project 选项, 图 9-2 所示的 New Project 向导将会打开, 点击 Next 图 9-2 New Project 对话框 4) 在 Project Name 对话框中, 输入 first_zynq_design 作为 Project name, 选择 C:/XUP/Zed 作为 Project location, 确保 Create project subdirectory 被勾选上, 如图 9-3, 点击 Next 图 9-3 Project Name 对话框 5) 在 Project Type 对话框中, 选择 RTL Project, 确保 Do not specify sources at this time 选项没有 被勾选, 如图 9-4, 点击 Next 图 9-4 Project Type 对话框

3 6) 在 Add Source 对话框中, 选择 Verilog 作为目标语言, 如果你对 VHDL 熟悉的话, 你也可以选择 VHDL, 如果这里你忘记了选择, 在工程创建完成后, 也可以在工程设置中选择你熟悉的 HDL 语言 如果你已经有了源文件, 在这里就可以选择 Add file 或者 Add directory 进行添加, 由于我们没有任何的源文件, 所以这里我们直接点击 Next 即可, 如图 9-5 图 9-5 添加源文件 7) 在 Add Existing IP 对话框中, 点击 Next 8) 在 Add Constraints 对话框中, 点击 Next 9) 在 Default Part 对话框中, 在 Specify 框中选择 Boards 选项, 在下面的 Board 列表中选择 ZedBoard Zynq Evaluation and Development Kit, 点击 Next, 如图 9-6 图 9-6 芯片选择

4 10) 在 New Project Summary 对话框中, 点解 Finish 完成工程创建, 至此, 我们已经使用 Vivado 创建了一个 Zynq 设计的工程框架, 图 9-7 为 Vivado 的工程界面, 在第四章我们已经对该界面进行过介绍, 如果还不熟悉的读者再回到前面复习一下 下面我将使用 Flow Navigator 的 IP Integrator 功能完成第二节的嵌入式系统设计 图 9-7 Vivado 工程界面 在 Vivado 中创建 Zynq 嵌入式系统这一节我们将创建一个简单的 Zynq 嵌入式系统, 该系统使用 Zynq PL 部分实现一个通用 I/O 控制器 (GPIO), 控制器同 ZedBoard 上的 8 个 LED 相连接, 并且通过 AXI 总线连接到 PS 端, 这样我们就可以通过将要在第三小节中实现的 ARM 应用程序来对 LED 进行控制 系统结构图如图 9-8 所示 ZYNQ PS AXI ZYNQ PL AXI GPIO Development Board 图 9-8 系统结构图 1) 创建一个 Block Design 在 Flow Navigator 窗口中展开 IP Intergrator, 选择 Create Block Design, 如图 9-9

5 图 9-9 创建 Block Design 2) 在 Block Design 对话框中输入 zynq_system_1 作为 Design name, 如图 9-10, 点击 OK 继续 图 9-10 输入设计名称 这时在 workplace 区域将会打开 IP Integrator 的图表画布, 我们将在这个空白区域中像画 画一样的构建自己的系统 在前面我们说过, 这里操作的最小单位是 IP 核,Xilinx 官方还 有一些第三方机构给我们提供了很多的免费 IP 核, 我们可以直接在这里添加使用, 当然, 用户也可以自定义自己的 IP 核, 然后添加到工程中使用, 或者提供给其他用户使用, 在 13 章第一节我们将会向大家介绍如何制作自己的 IP 核 3) 在空白画布中, 右键空白区域, 并选择 Add IP 选项, 或者点击画布最上方的绿色提示信息 中的 Add IP 链接, 如图 ) 这时一个 IP 核列表将会弹出, 在 Search 一栏输入 Zynq, 在搜索结果列表中双击 ZYNQ7 Processing System 添加 PS 端的 IP 核到画布中, 如图 9-12 所示 由于我们使用的是 ZedBoard, 这一步完成后 Vivado 会对 Zynq 处理器进行相应的配置 同时在 IDE 的下面, 选择 TCL Console 你将看到如下的信息, 其实我们在 Vivado 图形化界面所做的所有操作都 将转化为 TCL 命令来执行 Startgroup create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.3 processing_system7_0 create_bd_cell: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06. Memory (MB): peak = ; gain = endgroup

6 图 9-11 添加 IP 图 9-12 添加 PS IP 核 在空白画布中, 我们可以看到 ZYNQ7 Processing System 被以图形化的方式添加进来, 当前的 IP 模块是一个初始化界面, 如果我们要使这个模块能在 ZedBoard 工作起来, 我们必须要对其进行一些配置, 下面我们就看一下如何在 Vivado 下对 ZYNQ7 Processing System 这个 IP 核进行配置 5) 双击 ZYNQ7 Processing System 模块, 打开其配置界面, 如图 9-13 所示, 首先先来熟悉一下这个界面 Documentation: 提供该 IP 模块相关的文档帮助 Page Navigator: 这里提供了该 IP 模块的详细配置列表 Zynq Block Design 页面显示了 ZYNQ7 Processing System 的总体概貌, 我们可以通过点击绿色部分对相应的模块进行查看或者配置 ;PS-PL Configuration 页面提供了 PS 到 PL 的相关接口配置信息以及 PS 部分一些配置信息 ;Peripheral I/O Pins 页面主要是对一些通过外设接口的配置 ;MIO Configuration 页面主要是对 MIO 以及 EMIO 的分配控制 Clock Configuration 页面主要是对 PS 端时钟资源的配置及管理 DDR Configuration 页面主要是对 DDR 控制器一些参数的配置 Interrupts 页面主要是对中断进行配置管理

7 Presets: 这里主要提供了一些开发板的预定义配置功能,Vivado 将会按照已经设置好的配置信息来对该 IP 核进行配置, 而不需要我手动的来配 点击该按钮, 我们可以看到 Vivado 现在已经支持的开发板有 Microzed ZC702 ZC706 ZedBoard 以及一个 Default 配置选项 Import XPS Settings: 这个功能主要是将 XPS 中的 ZYNQ7 Processing System 的配置信息导入进来, 其实就是导入一个 xml 文件 图 9-13 ZYNQ7 Processing System 界面 由于我们使用的是 ZedBoard 开发板, 且只用到 Vivado 提供的默认配置, 所以这里选择 Presets > Zedboard, 点击 OK 来完成对 ZYNQ7 Processing System 的配置 下一步我们将要连接 PS 端的 DDR 与 FIXED_IO 接口到顶层接口 6) 左建选择 ZYNQ7 Processing System 模块上的 DDR 接口, 当光标变成笔装的时候右键并选择 Make External, 如图 9-14, 对于 FIXED_IO 使用同样的方法 注 :5 6 两步更加简单的方法是点击 Diagram 顶端的 Run Block Automation 设计协助链接来完成对 ZYNQ7 Processing System IP 核的配置并将 DDR 与 FIXED_IO Make External, 如图 9-15 所示 当我们选择 /processing_system7_0 的时候, 相应的 IP 核图形界面会变成高亮

8 显示, 表示其已被选中, 并将会被自动配置 在弹出的 Run Block Automation 对话框中确保 Apply Board Preset 被勾选, 否则其只会将 DDR 与 FIXED_IO 连接到顶层端口, 而不会对 ZYNQ7 进行默认配置 如果你在手动完成了 ZYNQ7 的配置又要使用 Run Block Automation 这个功能, 那么一定要确保 Apply Board Preset 没有被选中, 否则它将会使用默认配置来覆盖你原来的配置 图 9-14 Make External Interface 操作 图 9-15 Run Block Automation-ZYNQ 现在我们已经完成了 ZYNQ7 Processing System IP 核的添加并对其进行了配置, 你的 Block Design 应该如图 9-16 所示 下面我将添加 AXI GPIO IP 核到系统中, 该 IP 核被放在 PL 端, 通过 AXI 总线同 ARM 相连接, 并通过 GPIO 接口控制 ZedBoard 上的 8 个 LED 小灯 我们将使用 IP Integrator 的设计协助工具来将 AXI GPIO 连接到 PS 端

9 图 9-16 ZYNQ7 Processing System 外部连接 7) 右键 Diagram 窗口的空白区域, 在弹出的菜单列表中选择 Add IP 选项, 在搜索栏中输入 GPIO, 并在搜索结果列表中双击 AXI GPIO 将该 IP 添加到系统中 8) 在 Diagram 窗口顶部点击 Run Connection Automation 链接, 并选择 /axi_gpio_0/s_axi 选项, 这时我们可以看到 GPIO IP 核的 S_AXI 接口被高亮显示, 如图 9-17, 点击 OK 完成 图 9-17 Run Connection Automation-GPIO 这时我们可以看到有两个新的 IP 模块自动被添加了进来 : Processor System Reset: 这个 IP 提供一个定制化的 Reset 功能, 包括所有外设, 互联以及处理器生 AXI Interconnect: 提供 AXI 总线互联控制, 它将 PL 端外设同 PS 端连接起来 9) 同样点击 Run Connection Automation 链接, 并选择 /axi_gpio_0/gpio,run Connection Automation 对话框将被打开, 在 Select Board Interface 的下拉菜单中选择 leds_8bit 选项, 点击 OK, 如图 9-18 所示

10 图 9-18 Run Connection Automation-GPIO 注 : 这里需要注意, 当我们使用如上的方式来对 GPIO Make External 时,Vivado 会自动在系统中添加约束文件, 将 GPIO 连接到 ZedBoard 的 LED 引脚上, 但是如果我们是手动配置 IP 核并 Make External 的话, 请一定要自己添加相应的 LED 约束文件, 否则将会发生错误 10) 如图 9-19 所示, 为我们到这一步应该看到的模块连接界面 图 9-19 Module Connect System 11) IP Integrator 将会自动为挂在 AXI 总线上的 IP 设备分配地址空间, 这样我们才可以通过 ARM 寻址到 PL 端的逻辑设备 选择 Address Editor 选项, 并展开 Data, 如图 9-20, 可以看到 IP Integrator 已经为 AXI GPIO 分配了 64K 的地址空间, 基地址为 0x 由于 ARM 是统一编址的, 所以我们在编写 ARM 程序的时候就可以像读写内存一样直接对该地址进行读写, 从而实现对该 IP 核的控制

11 图 9-20 地址编辑器 12) 保存工程 :Ctrl + S 13) 在 Diagram 窗口的左面工具栏中选择 Validate Design 按钮检测设计的有效性, 这个操作将会调用一次 DRC 检测, 如果检测正确, 一个 Validation successful 对话框将会弹出, 否则根据错误信息进行改正, 点击 OK 完成设计有效性检测 至此我们的系统已经设计完成, 下面我们将设计完成 Block Design 生成可以综合的 HDL 设计文件 14) 在 Source 窗口中, 选择 Sources 选项, 右键 Block 设计 zynq_system_1, 选择 Generate Output Products, 如图 9-21 图 9-21 生成 HDL 文件 15) 在弹出的对话框中直接点击 Generate 这一步将会生成 Diagram Block Design 的 HDL 源文件以及相应端口的约束文件 16) 继续右键 zynq_system_1, 选择 Create HDL Wrapper, 保持默认选项, 点击 OK 关闭 Create HDL Wrapper 对话框 这里,Vivado 会为我们完成的 IP 子系统生成一个顶层文件, 这样我们就可以该系统进行综合 实现并生成 bit 流了 17) 在 Flow Navigator 中, 展开 Program and Debug, 点击 Generate Bitstream, 这时 No Implementation Result Available 对话框被打开, 点击 YES, 如图 ) 当 bit 流生成完成,Bitstream Generation successful completed 对话框被打开, 选择 Open Implementation Design 对话框, 点击 OK 完成, 如图 9-23 所示 当 bit 流生成完成后, 在 Vivado 中最后的工作就是要将我们的设计导入到 SDK 中, 然后对 ARM 进行编程, 控制 ZedBoard 上的 LED 灯, 实现我们这次实验的目的

12 图 9-22 生成 bit 流 图 9-23 打开实现设计 19) 在 IP Integrator 下点击 Open Block Design, 选择 zynq_system_1.bd, 重新打开前面我们创 建的 Block Design, 如图 9-24 图 9-24 打开 Block Design

13 20) 选择 File > Export > Export Hardware for SDK.,Export Hardware for SDK 对话框将被打开, 确保 Include bitstream 和 Launch SDK 两个选项被选中, 如图 9-25, 点击 OK 图 9-25 将硬件设计导入到 SDK 注意 : 这里我们要导入的 Source 是 Block Design, 所以必须要先将 zynq_system_1.bd 打开, 否则会出现错误 ; 要想将 bitstream 导入到 SDK, 我们必须先打开 implementation design, 否则这里的 Include Bitstream 将会是 disable 的 到此, 我们在 Vivado 下的工作就完成了, 如果没有出现错误, 说明我们的硬件工程总体上是没有 问题的 下面一节我们将在 SDK 中创建一个简单的应用程序, 来完成软硬件协同设计中的软件部 分 SDK 应用程序编写 这一节我们将讲解如何使用 SDK 来创建一个简单的软件应用程序, 并使用该程序来对 ZedBoard 上的 LED 进行控制 前面我们已经看到, 系统已经为 AXI GPIO 分配了一个地址空间, ARM 处理器通过访问该地址空间中的寄存器就可以对 GPIO 进行控制寄存器, 从而达到控制 ZedBoard 上的 LED 如果你是接着 来做这一节实验的话, 当你完成 最后一步的时候,SDK 就会自动的启 动了, 否则我们可以通过浏览 Start > All Programs > Xilinx Design Tools > Vivado > SDK > Xilinx SDK 来启动 SDK, 并将 Workspace 定位到 : C:\XUP\Zed\first_zynq_design\first_zynq_design.sdk\SDK\SDK_Export 当 SDK 打开后我们就可以创建自己的应用程序了 1) 选择 File > New > Application Project 2) 在 Application Project 对话框中输入 Marquee 作为 Project Name, 其他的保持默认设置, 如图 9-26, 点击 Next

14 图 9-26 新建 SDK 工程 3) 在 Templates 对话框中, 选择 Empty Application, 这里我们创建一个空工程, 如图 9-27 所 示, 点击 Finish 完成工程创建 图 9-27 创建一个空白模版

15 4) 当工程编译完成,SDK 会自动打开 System.mss 文件, 该文件存在于我们的板级支持包文件夹 Marquee_bsp 下 这个文件提供了系统中所有的外设详细信息,Documentation 和 Example 提供了该外设很详细的设计参考, 包括相应的一些重要的数据结构以及 API, 或一些可用的参考例程, 如图 9-28 图 9-28 System.mss 文件 5) 选择 File > New > Source File, 在弹出的对话框中点击 Browse 按钮, 选择 Marquee/src 作为 Source Folder, 在 Source File 输入框中输入 Marquee.c, 点击 Finish 完成 Source File 的添加, 如图 9-29

16 图 9-29 新建 Source File 6) 下面我们来编写 Marquee.c, 具体代码如下所示 #include "xparameters.h" /* Peripheral parameters */ #include "xgpio.h" /* GPIO data struct and APIs */ #include "xil_printf.h" #include "xil_cache.h" #define GPIO_BITWIDTH 8 /* This is the width of the GPIO */ #define GPIO_DEVICE_ID XPAR_AXI_GPIO_0_DEVICE_ID //device id #define LED_DELAY /* times delay*/ #define LED_MAX_BLINK 0x1 /* Number of times the LED Blinks */ #define LED_CHANNEL 1 /* GPIO channel*/ #define printf xil_printf /* A smaller footprint printf */ XGpio Gpio; /* The Instance of the GPIO Driver */ XGpio GpioOutput; /* The driver instance for GPIO Device configured as O/P */ int GpioMarquee (u16 DeviceId, u32 GpioWidth) { volatile int Delay; u32 LedBit; u32 LedLoop; int Status; /* * Initialize the GPIO driver so that it's ready to use, * specify the device ID that is generated in xparameters.h */ Status = XGpio_Initialize(&GpioOutput, DeviceId); if (Status!= XST_SUCCESS) { return XST_FAILURE; } //Set the direction for all signals to be outputs XGpio_SetDataDirection(&GpioOutput, LED_CHANNEL, 0x0); // Set the GPIO outputs to low

17 XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL, 0x0); for (LedBit = 0x0; LedBit < GpioWidth; LedBit++) { for (LedLoop = 0; LedLoop < LED_MAX_BLINK; LedLoop++) { //Set the GPIO Output to High XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL, 1 << LedBit); //Wait a small amount of time so the LED is visible for (Delay = 0; Delay < LED_DELAY; Delay++); //Clear the GPIO Output XGpio_DiscreteClear(&GpioOutput, LED_CHANNEL, 1 << LedBit); // Wait a small amount of time so the LED is visible for (Delay = 0; Delay < LED_DELAY; Delay++); } } return XST_SUCCESS; } int main(void) {//Application start /* loop forever*/ while(1){ u32 status; status = GpioMarquee (GPIO_DEVICE_ID,GPIO_BITWIDTH); if (status == 0) printf("sucess!.\r\n"); else printf("failed.\r\n"); } return XST_SUCCESS; } 7) 保存工程, 等待编译结束 该程序以 main 函数开始, 之后进入一个无限循环, 然后不断的调用 GpioMarquee 函数 Xgpio_Initialize 函数在 gpio.h 中被定义, 它的功能是对 XGpio 句柄进行初始化,XPAR_AXI_GPIO_0_DEVICE_ID 在 xparameters.h 文件中被定义, 该文件是 Vivado IDE 自动生成并导入到 SDK 中的, 它包含了所有的系统硬件设备参数 XGpio_SetDataDirection(&Gpio, LED_CHANNEL, 0xFF) 函数设置 GPIO 指定通道的 I/O 方向 XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL, 0x0) 函数将数据写入到设置好的通道中 8) 将 ZedBoard 同 PC 机相连接, 插上串口线与 Jtag 线, 如果是第一次连接请等待一段时间, 操作系统会自动安装所需的驱动 单击 Xilinx Tools > Program FPGA 将 bit 流写入 FGPA 中 右键工程目录中的 Marquee 目录, 选择 Run As > Run Configurations, 设置 STDIO Connection 为相应的串口, 如图 9-30 图 9-30 设置串口, 运行程序

18 9) 选择 Run As > Launch on Hardware 将程序下载到 ZedBoard 上运行, 稍等片刻我们可以看到 Console 窗口中不断的打印 SUCCESS! 此时 ZedBoard 上的跑马灯已经运行了起来 图 9-31 运行程序, 查看结果 10) 如果到了这一步, 恭喜你, 你已经掌握了 ZYNQ 软硬件协同设计的基本流程 这对于一个入门者来说是至关重要的, 就像我们刚学 C 语言时候运行出 Hello World 程序一样, 是多么的让人振奋 ZYNQ 软硬件协同设计的基本流程如下所示 安装 Xilinx 设计工具 Vivado 创建工程框架 PlanAhead:Build RTL/IP XPS 构建 Block Design 创建系统顶层文件及约束文件 :Configure PS :Add/Integrate IP :Generate Bitstream :Export to SDK 综合 实现 生成 Bitstream 导入到 SDK SDK 构建并编译软件应用程序 Target Platform 图 9-32 ZYNQ 设计流程

19 9.2 ZYNQ 嵌入式系统调试方法 在 ZYNQ 的软硬件协同设计中肯定会遇到各种问题, 这时需要我们有个很好的方法来对系统进行 Debug 在第四章中我们已经介绍了 Vivado 环境下的一些常用 Debug 核以及 Vivado 自带的逻辑分析器, 现在我们将用一个简单的事例来说明如何在 Vivado 下对我们的系统进行调试 我们将分两个小节来对对这一问题进行概述 第一节讲述如何在 Vivado 下建立硬件调试环境 第二节将会介绍 SDK 使用, 并使用 SDK+Vivado 进行系统调试 Vivado 硬件调试 1) 这里, 我们将继续 9.1 节所构建的工程, 使用插入 Netlist 的方法对系统进行 Debug 将 C:\XUP\Zed\ 下的 first_zynq_design 文件夹复制到当前目录下并将其重命名为 zynq_debug 如图 9-33 所示 图 9-33 新建工程 2) 进入 zynq_debug 目录, 双击 first_zynq_design Vivado 工程文件 打开 first_zynq_design 工程主界面 3) 点击 Open Block Design, 选择 zynq_system_1.bd, 打开 Block Design, 如图 9-34 图 9-34 打开 Block Design 4) 选择 axi_gpio_0_gpio 接口连接, 右键并选择 Make Debug, 当综合完成后, 系统会自动将被标记的连接线的 Netlist 插入, 如图 ) 此时我们可以看到该接口连接被打上了 debug 标志 如图 ) 用同样的方法将 M00_AXI 接口与 S00_AXI 接口之间的连接线也进行 Make Debug, 如图 9-37 所示 7) 保存工程 8) 点击 Run Synthesis 重新对系统进行综合 9) 当综合结束后会弹出 Synthesis successfully completed 对话框, 选择 Open Synthesized Design 选项查看综合结果, 点击 OK, 如图 9-38

20 图 9-35 Make Debug 图 9-36 GPIO Debug 图 9-37 AXI 总线 Debug 图 9-38 Open Synthesized Design

21 10) 如果 Debug 窗口没有打开, 点击 IDE 工具栏中的 Layout selector, 并在下拉菜单中选择 Debug 选项来打开 Debug 窗口, 如图 3-39 图 9-39 打开 Debug 窗口 11) 在 IDE 下方我们可以看到打开后的 Debug 窗口, 该窗口列出了我们在第四步到第六步中标记的 Debug Net 在 Netlist 窗口中我们也能看到相应的 Net 也被标记了, 这里我们还可以对被标记的 Net 取消 Debug, 也可以将新的 Net 插入 Debug 窗口, 不过这里我们什么都不做, 如图 图 9-40 取消 Debug 图 9-41 Netlist Make Debug 注 : 在 Debug 窗口中的 Net 现在的状态仍然是 unassigned, 所以现在我们要添加一个 Debug IP 核来将这些 Net 连接上去

22 12) 在 Debug 窗口的工具栏上, 选择 Set Up Debug, 打开 Set Up Debug 向导, 如图 9-42 图 9-42 Set Up Debug 13) 在 Set Up Debug 对话框中点击 Next 14) 在 Specify Net to Debug 对话框中, 选择 Clock Domain undefined 的 3 个选项并右键, 选择 Select Clock Domain 选项, 如图 9-43 图 9-43 关联 Clock 15) 在弹出的 Select Clock Domain 对话框中选择 zynq_system_1_i/processing_system7_0_ FCLK_CLK0, 如图 9-44 图 9-44 选择 Clock

23 16) 在 Trigger and Capture Modes 对话框中, 选择 Enable advanced trigger mode 和 Enable basic Capture mode 选项 点击 Next 图 9-45 选择模式 17) 在 Setup Debug Summary 对话框中, 确保所有的信息如图 9-46 所示 点击 Finish 图 9-46 Summary 18) 保存工程, 此时在 Source 窗口中的 Constraints 文件夹下会自动生成 Debug 相关的约束文件 zynq_system_1_wrapper.xdc 19) 在 Flow Navigator 窗口中点击 Generate Bitstream, 点击 Yes 当 No Implemetation Results Available 对话框弹出时, 等待 Generate Bitstream 完成 20) 当 Generate Bitstream completed 对话框弹出时, 保持默认选项, 点击 OK 打开 Implementation Design, 并在之后弹出的对话框中都点击 Yes

24 21) 按 9.1 节的方法将硬件设计导入到 SDK 中 不要关闭 Vivado, 我们将在后面继续使用 使用 SDK 进行 ZYNQ debug 在 SDK 中对 ZYNQ 进行 Debug, 其实是对 ZYNQ 的 ARM 处理器进行 Debug, 在 ZYNQ 嵌入式系统中, 一般 PL 端的逻辑设备都被看成是 ARM 处理器的外设, 两者之间通过 AXI 总线接口进行通信 这样, 我们就可以通过软件的方式, 来实时对硬件流进行调试 在 SDK 中已经集成了 GDB 工具 我们可以很方便的对程序设置断点, 查看当前内存空间,ARM 寄存器以及对 ARM 进行单步调试 这里我们将使用 节导入的 SDK 工程为基础 1) 将 PC 机同 ZedBoard 连接起来 2) 选择 Xilinx Tools > Program FPGA 将 bit 文件下载到 ZedBoard 3) 在工程管理面板右键 Marquee 工程, 依次选择 Debug As > Launch On Hardware, 点击 Yes 关闭 Confirm Perspective Switch 对话框, 打开 SDK Debug 管理界面如图 9-46 所示 图 9-47 SDK Debug 界面 4) 初始化状态, 程序指针指向 GpioMarquee 函数, 点击工具栏图标或者按进入 F5 键进 入到 GpioMarquee 函数, 现在程序指针指向了 XGpio_Initialize 函数 5) 点击图标或者按下 F6 键执行下一条命令

25 6) 选择第一个 delay for 循环, 并在这一行前面的蓝色竖条上双击或者按下 Ctrl+Shift+B 设置断点 如图 9-48 所示 图 9-48 设置断点 7) 单击 Resume 按钮或者按下 F8 使程序运行到断点处, 此时我们的 ZedBoard 上的第一 个小灯应该亮了起来 继续点击 Resume 按钮, 可以依次点亮板子上的 8 个小灯 8) 在 Varibles 窗口中可以查看当前变量的值 如图 9-49 图 9-49 查看变量 9) 在 Registers 窗口中可以查看 ARM 处理器内部寄存器的值 如图 9-50 所示 图 9-50 Register 10) 在 Memory 窗口可以查看内存空间的情况 如图 9-51

26 图 9-51 Memory 现在我们已经知道了如何在 SDK 中进行一般的调试, 而且能够得到我们所预期的效果, 但是事情往往不是像我们想象的那么简单, 如果在第 7 步的时候我们没有在 ZedBoard 上看到小灯被点亮, 那我们该怎么办? 决解这一问题的最好办法就是查看 AXI 总线是否有数据在流动, 所以前面我们所做的 Vivado Debug 工程就用到了 请不要关闭 SDK, 且断点依然设置在相同的位置 11) 回到 Vivado IDE 界面 在 Program and Debug 选项下点击 Open Hardware Manager 如图 9-52 图 9-52 Open Hardware Manager 12) 如果你的板子以此方式进行连接过, 请选择 open recent target 并选择相应的 target 即可, 否则点击 open a new hardware target, 并一直 Next, 最后 Finish 即可, 如图 9-53 图 9-53 open target 13) 由于我们已经在 SDK 中下载了 FPGA bit 流, 所以在 Hardware 面板中一个 ILA debug 核被 系统检测到了 如图 9-54

27 图 9-54 ILA core 检测 注意 : 虽然我们可以在 Vivado 中对 FPGA 下载 Bitstream, 但请不要这样做, 经过我们的多次测试, 这样做会发生错误,Xilixn 官方的给出的决解方案是由于我们使用的 PS 端的时钟来接入 ILA, 所以要先在 SDK 中将 bit 流进行下载 14) 现在我们可以看到 Integrated Logic Analyzer 的窗口被打开, 如图 9-55 图 9-55Vivado 集成逻辑分析器窗口 15) 点击 Run Trigger Immediate 按钮来触发并捕捉 Debug probe 的数据 16) 在 Waveform 窗口中我们可以看到捕捉到的数据如图 9-56 所示 这里我们注意到 GPIO 的值为 0x01, 说明第一个小灯是被点亮的, 同时 AXI 总线的 WDATA 的值同 GPIO 的也是 0x01, 所以可以看出从 ARM 处理器写到 AXI GPIO 的数据被正确接收到了, 我们还可以看一下其他信号线的值, 做一些分析 17) 转到 SDK 界面中, 点击 Resume 按钮, 继续执行程序, 此时我们可以看到 led2 被点亮 18) 转到 VIvado 界面, 点击 Run Trigger Immediate 按钮, 查看状态改变后 GPIO 的信号值, 如图 9-57 我们发现 0x01 变成了 0x02 19) 重复前面两步, 查看相应状态改变是否会引起相应的波形的变化, 并分析其原因 20) 至此, 我们在 Vivado 下对 ZYNQ 嵌入式系统的调试就讲到这里, 我们所讲的只是其中一个方法, Vivado 给我们提供多种方法对 ZYNQ 进行调试, 在第四章中我们也进行过简单的介绍, 详细的方法请参考 UG936 以及 UG908

28 图 9-56 Waveform 图 9-57 状态改变后的波形图

29 9.3 Booting Linux on ZedBoard 在第一章中我们带领大家体验了运行在 ZedBoard 上的 Linaro Ubuntu, 在第 7 章中我们介绍了 FSBL 引导程序, 并描述了 ZYNQ 下的 linux 启动过程 大家也许会有很多疑问, 比如 FSBL 是怎么生成的, 如何来制作 BOOT.BIN 启动镜像等等, 这里我们将为大家解答这些问题 通过这一节的学习, 希望大家能够对 ZYNQ 下的 linux 启动过程有更深入的体会 在 ZedBoard 中启动 Linux 有两种方式, 一种是将 ZedBoard 作为主设备来引导 Linux, 另一种则是将其作为从设备 Master Method: 这种方式是将启动镜像存储在非易失性存储器中, 如 QSPI NAND NOR flash 以及 SD 卡等 ARM 核从这些存储器中将启动镜像加载 PS 端, 并执行 boot 程序引导 linux 启动 Slave Method: 这种方式是将 PC 机作为主机, 通过 Jtag 或者网络将启动镜像加载到内存 下面我们分别对从 SD 卡启动和从 QSPI 启动 linux 进行介绍 首先得准备以下几个文件, 你可以直接从 获取 fsbl_zynq.elf: FSBL 镜像文件, 用于创建 BOOT.BIN, 将在 介绍 zynq_system_wrapper.bit: 硬件工程文件, 用于创建 BOOT.BIN u-boot.elf: linux BootLoader, 用于创建 BOOT.BIN, 将在 13 章进行介绍 uimage: Linux 内核镜像, 通过 U-boot 加载到内存, 将在 13 章进行介绍 uramdisk.image.gz: linux 文件系统, 通过 U-Boot 加载到内存, 将在 13 章进行介绍 devicetree.dtb:linux 系统设备树文件, 通过 U-boot 加载到内存, 将在 13 章介绍 创建 FSBL.elf 1) 浏览 Start > All Programs > Xilinx Design Tools > Vivado > SDK >Xilinx SDK 启动 SDK, 设置 Workspace 为 9.1 节生成的 SDK_Export 目录, 如图 9-58 所示 图 9-58 导入 SDK 工程

30 2) 在 SDK 中, 依次选择 File > new > Application Project, 在弹出的 Application Project 对话框中输入 fsbl_zynq 作为 Project Name, 其他选项保持默认设置, 点击 Next 3) 在 Templated 对话框中选择 Zynq FSBL 作为模版, 点击 Finish 完成工程创建, 如图 9-58 SDK 在工程浏览器中创建了 fsbl_zynq 应用工程以及 fsbl_zynq_bsp 板级支持包工程 SDK 将会自动构建工程, 并生成 fsbl_zynq.elf 文件 图 9-58 选择 fsbl 模版 从 SD 卡启动 linux 1) 将需要的 3 个文件拷贝到同一个文件夹中, 在上面的链接中我们也给出了这 3 个文件, 如图 9-59 所示 图 9-59 准备需要的文件 2) 在 SDK 的菜单栏中选择 Tools > Create Zynq Boot Image 在弹出来的 Create Zynq Boot Image 对话框中将 Bit file path 设置为第一步 3 个文件所在的文件夹路径, 并在 Boot image partition 面板中点击 Add 按钮相继添加这 3 个文件, 这里一定要注意, 我们添加文件的顺

31 序必须按照 fsbl_zynq.elf > zynq_system_1_wrapper.bi > u-boot.elf 的顺序, 否则系统将不能 够正常启动, 添加的过程中保持默认设置即可, 同时 Output path 也保持默认设置即可, 点 击 Create Image 按钮生成.bin 文件, 如图 9-60 所示 图 9-60 建立 SD 卡启动镜像文件 BOOT.bin 3) 这时, 我们在该文件夹下可以看到有个 output.bin 文件生成了, 将其重命名为 BOOT.BIN 4) 将 BOOT.BIN uimage uramdisk.image.gz devicetree.dtb 几个文件拷贝到 SD 卡 FAT 分区 提示 : 这里千万不要改变这几个文件的文件名,u-boot 在 SD 卡中搜索这些文件名, 进而启动系统 5) 按照 节内容设置 ZedBoard 跳线以及连接 ZedBoard 串口到 PC 机 6) 启动 ZedBoard, 观察串口终端的打印信息 当 Zynq> 提示符在串口终端上显示时, 说明 Linux 已经在 ZedBoard 上启动了, 如图 9-61

32 图 9-61 启动 linux 从 QSPI 启动 Linux 1) 将所需的文件拷贝到同一个文件夹, 为了方便, 将 SDK_Export/hw_platform_0/ps7_init.tcl 文件也拷贝到同以文件夹, 如图 9-62 以下操作 XMD% 提示符表示在 XMD 窗口中,zynqboot> 提示符表示在串口终端中,bash$ 表示在 linux 系统中 图 9-62 准备制作文件 1) 设置 ZedBoard 的 JP7-11 全部为 0, 从 Jtag 启动 MIO02-06: ) 连接 ZedBoard 与 PC 机, 启动 ZedBoard, 打开串口终端, 设置波特率为 ) 选择 Start > All Programs > Xilinx Design Tools >Vivado > SDK > Xilinx Microprocessor Debugger 启动 XMD 窗口 4) 手动编写.bif 文件

33 XMD% cd C:/XUP/boot/qspi/ XMD% notepad qspi_boot_image.bif 5) 在记事本中如下输入 其中的 offset 的值是根据 u-boot 源码中的配置文件的值来设定的 the_rom_image: { [bootloader] fsbl_zynq.elf u-boot.elf [offset = 0x100000] uimage.bin [offset = 0x600000] devicetree.dtb [offset = 0x620000] uramdisk.image.gz } 6) 生成 boot.bin 文件 XMD% bootgen image qspi_boot_image.bif o i boot.bin 7) 连接并初始化 ARM XMD% connect arm hw XMD% source ps7_init.tcl XMD% ps7_init 8) 加载 u-boot.elf 到 QSPI Flash XMD% dow u-boot.elf 9) 将 boot.bin 先存放到内存 0x 处, 这个过程大概需要 5 分钟左右 XMD% dow -data boot.bin 0x ) 执行 U-boot XMD% con 11) 在串口终端中, 当 u-boot 在读秒的时候按下任意键进入 u-boot 命令行模式 12) 在 u-boot 命令行模式中, 对 QSPI 进行初始化 Zynq-boot> sf probe Zynq-boot> sf erase 0 0x ) 将 DDR 0x 处的 u-boot.bin 拷回 QSPI 的 0x0 处 Zynq-boot> sf write 0x xFFFFFF 14) 烧写 QSPI 完毕, 关闭 ZedBoard 电源 15) 设置 JP7-11 跳线从 QSPI 启动 MIO02-06: ) 连接串口终端, 启动 ZedBoard 17) 观察终端打印信息, 当出现 Zynq> 提示符时, 说明系统启动完成

34 这里需要注意几点 在制作 boot.bin 的时候是不能够加入.bit 文件的 否则 bootgen 命令会出错 由于我们在启动 linux 的时候还未对 FPGA 进行配置, 故而我们的 devicetree 中是不能够有 PL 端的设备描述的, 否则内核不能够启动 我们可以将 bitstream 放到文件系统中, 当 linux 系统启动后使用如下命令对 ZYNQ 进行配置即可 bash$ cat system.bit > /dev/xdevcfg

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

Software Boot and PL Configuration

Software Boot and PL Configuration 1 2014 Xilinx All Programmable 客户技术培训 1Software Boot and PL Configuration 2014.1 2 40363**slide Objectives After completing this module, you will be able to: Differentiate between program storage and execution

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

EDK_MicroBlaze_tutorial.doc

EDK_MicroBlaze_tutorial.doc EDK 6.3 MicroBlaze Tutorial Page 1 of 22 EDK 6.3 MicroBlaze Tutorial 声 明 : 本 人 初 次 接 触 Xilinx EDK, 摸 索 了 一 段 时 间, 在 网 上 搜 索 了 一 些 资 料 终 于 试 验 成 功 闲 来 无 事, 想 到 当 初 寻 找 文 档 的 种 种 艰 辛, 并 且 为 了 纪 念 这 一 历 史

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

AL-MX200 Series

AL-MX200 Series PostScript Level3 Compatible NPD4760-00 TC Seiko Epson Corporation Seiko Epson Corporation ( ) Seiko Epson Corporation Seiko Epson Corporation Epson Seiko Epson Corporation Apple Bonjour ColorSync Macintosh

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Eclipse C C++, or

Eclipse C C++,  or Eclipse C C++, Emailctchen@pl.csie.ntut.edu.tw or s1669021@ntut.edu.tw, s2598003@ntut.edu.tw http://pl.csie.ntut.edu.tw/~ctchen, http://www.ntut.edu.tw/~s2598003/ 2004/9/10 (0.02 ) Eclipse http://www.eclipse.org

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

Abstract arm linux tool-chain root NET-Start! 2

Abstract arm linux tool-chain root NET-Start! 2 Lab III - Embedding Linux 1 Abstract arm linux tool-chain root NET-Start! 2 Part 1.4 Step1. tool-chain 4 Step2. PATH 4 Part 2 kernel 5 Step1. 5 Step2... 6 Step3...8 Part 3 root. 8 Step1. 8 Step2. 8 Part

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

IBM Rational ClearQuest Client for Eclipse 1/ IBM Rational ClearQuest Client for Ecl

IBM Rational ClearQuest Client for Eclipse   1/ IBM Rational ClearQuest Client for Ecl 1/39 Balaji Krish,, IBM Nam LeIBM 2005 4 15 IBM Rational ClearQuest ClearQuest Eclipse Rational ClearQuest / Eclipse Clien Rational ClearQuest Rational ClearQuest Windows Web Rational ClearQuest Client

More information

附录J:Eclipse教程

附录J:Eclipse教程 附 录 J:Eclipse 教 程 By Y.Daniel Liang 该 帮 助 文 档 包 括 以 下 内 容 : Eclipse 入 门 选 择 透 视 图 创 建 项 目 创 建 Java 程 序 编 译 和 运 行 Java 程 序 从 命 令 行 运 行 Java Application 在 Eclipse 中 调 试 提 示 : 在 学 习 完 第 一 章 后 使 用 本 教 程 第

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

Windows 2000 Server for T100

Windows 2000 Server for T100 2 1 Windows 95/98 Windows 2000 3.5 Windows NT Server 4.0 2 Windows DOS 3.5 T200 2002 RAID RAID RAID 5.1 Windows 2000 Server T200 2002 Windows 2000 Server Windows 2000 Server Windows 2000 Server 3.5 for

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司

ZYNQ 开发教程 ZYNQ 开发 (FPGA) 长沙聚为电子科技有限公司 ZYNQ 开发教程 ZYNQ 开发 (FPGA) www.csjuwei.com ZYNQ 开发教程 目录 一开发环境建立... 1 1.1 VIVADO 软件安装... 1 1.1.1VIVADO 软件安装... 1 1.1.2 VIVADO 软件注册... 4 二创建工程... 6 2.1. 创建工程... 6 2.2. zynq 配置... 8 2.3. zynq vivado 基本操作...

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

Debugging the Zynq All Programmable SoC

Debugging the Zynq All Programmable SoC 2014 Xilinx All Programmable 客户技术培训 1Debugging the Zynq All Programmable SoC 2014.1 36300**slide Objectives After completing this module, you will be able to Explain the various JTAG chain configurations

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

Simulator By SunLingxi 2003

Simulator By SunLingxi 2003 Simulator By SunLingxi sunlingxi@sina.com 2003 windows 2000 Tornado ping ping 1. Tornado Full Simulator...3 2....3 3. ping...6 4. Tornado Simulator BSP...6 5. VxWorks simpc...7 6. simulator...7 7. simulator

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

LSI U320 SCSI卡用户手册.doc

LSI U320 SCSI卡用户手册.doc V1.0 Ultra320 SCSI SCSI 2004 7 PentiumIntel MS-DOS Windows Novell Netware Novell Sco Unix Santa Cruz Operation LSI U320 SCSI SCSI SCSI Integrated Mirroring/Integrated Striping BIOS Firmware LSI U320 SCSI

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

1.ai

1.ai HDMI camera ARTRAY CO,. LTD Introduction Thank you for purchasing the ARTCAM HDMI camera series. This manual shows the direction how to use the viewer software. Please refer other instructions or contact

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1 科 技 部 自 由 軟 體 專 案 原 始 程 式 碼 使 用 手 冊 Source Code Manual of NSC Open Source Project 可 信 賴 的 App 安 全 應 用 框 架 -App 應 用 服 務 可 移 轉 性 驗 證 Trusted App Framework -Transferability Verification on App MOST 102-2218-E-011-012

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

Red Flag Linux Desktop 4.0 Red Flag Linux Desktop 4.0 1

Red Flag Linux Desktop 4.0 Red Flag Linux Desktop 4.0 1 Red Flag Linux Desktop 4.0 68 6 Red Flag Software Co., Ltd. http://www.redflag-linux.com Red Flag Linux Desktop 4.0 Red Flag Linux Desktop 4.0 1 1 Red Flag Linux Desktop 4.0 1.1 Red Flag Linux Desktop

More information

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG

IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG IC-900W Wireless Pan & Tilt Wireless Pan & Tilt Remote Control / Night Vision FCC ID:RUJ-LR802UWG --------------------------------------------TABLE OF CONTENTS------------------------------------------

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

ansoft_setup21.doc

ansoft_setup21.doc Cadence Cadence Cadence 1000 (1) (2) CIC (3).. CIC Cadence (a) CIC license license server license CIC license CIC license (b) 2000 Cadence license 92 1 1 license server CIC 92 1 1 Cadence license licenser

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

第4章 系统设置

第4章  系统设置 POST 4.1 POST BIOS POST POST POST POST LOGO LOGO POST BIOS POST POST SCSI SCSI BIOS RAID POST RAID RAID RAID BIOS Operating System not Found BIOS T200 2002 BIOS 4.2 BIOS BIOS

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

华恒家庭网关方案

华恒家庭网关方案 LINUX V1.5 1 2 1 2 LINUX WINDOWS PC VC LINUX WINDOWS LINUX 90% GUI LINUX C 3 REDHAT 9 LINUX PC TFTP/NFS http://www.hhcn.com/chinese/embedlinux-res.html minicom NFS mount C HHARM9-EDU 1 LINUX HHARM9-EDU

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

RUN_PC連載_10_.doc

RUN_PC連載_10_.doc PowerBuilder 8 (10) Jaguar CTS ASP Jaguar CTS PowerDynamo Jaguar CTS Microsoft ASP (Active Server Pages) ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar CTS ASP Jaguar Server ASP

More information

行业

行业 PCI-1727U 快 速 安 装 使 用 手 册 PCI-1727U 快 速 安 装 使 用 手 册... 1 第 一 章 产 品 介 绍... 2 1.1 概 述...2 1.1.1 即 插 即 用 功 能...2 1.1.2 灵 活 的 电 压 输 出 范 围...2 1.1.3 板 卡 ID...2 1.2 特 点 :...2 1.3 选 型 指 导...2 第 二 章 安 装 与 测 试...

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

Basic System Administration

Basic System Administration 基 本 系 统 管 理 ESX Server 3.5 ESX Server 3i 版 本 3.5 Virtual Center 2.5 基 本 管 理 指 南 基 本 管 理 指 南 修 订 时 间 :20080410 项 目 :VI-CHS-Q208-490 我 们 的 网 站 提 供 最 新 的 技 术 文 档, 网 址 为 : http://www.vmware.com/cn/support/

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 ->

1 1 大概思路 创建 WebAPI 创建 CrossMainController 并编写 Nuget 安装 microsoft.aspnet.webapi.cors 跨域设置路由 编写 Jquery EasyUI 界面 运行效果 2 创建 WebAPI 创建 WebAPI, 新建 -> 项目 -> 目录 1 大概思路... 1 2 创建 WebAPI... 1 3 创建 CrossMainController 并编写... 1 4 Nuget 安装 microsoft.aspnet.webapi.cors... 4 5 跨域设置路由... 4 6 编写 Jquery EasyUI 界面... 5 7 运行效果... 7 8 总结... 7 1 1 大概思路 创建 WebAPI 创建 CrossMainController

More information

内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指

内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指 内 容 提 要 将 JAVA 开 发 环 境 迁 移 到 Linux 系 统 上 是 现 在 很 多 公 司 的 现 实 想 法, 而 在 Linux 上 配 置 JAVA 开 发 环 境 是 步 入 Linux 下 JAVA 程 序 开 发 的 第 一 步, 本 文 图 文 并 茂 地 全 程 指 导 你 搭 建 Linux 平 台 下 的 JAVA 开 发 环 境, 包 括 JDK 以 及 集

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1

4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O I/O Copyright 2001, Intellution, Inc. 4-1 4. I/O ifix SCADA I/O ifix ifix I/O I/O SCADA I/O Copyright 2001, Intellution, Inc. 4-2 4.1. A SCU SCU - - - - B SCU SCADA - - I/O Copyright 2001, Intellution,

More information