便携式频谱分析仪 频谱分析仪 RSA600A 系列便携式频谱分析仪产品技术资料 应用 分析 RF 器件 子系统和系统 制造测试 移动现场操作 RSA600 系列节省了您的时间, 助您马到成功 RSA600A 系列 USB 频谱分析仪在携带异常方便的小型仪器中提供了高带宽实验室频谱分析功能 主要特点和

Size: px
Start display at page:

Download "便携式频谱分析仪 频谱分析仪 RSA600A 系列便携式频谱分析仪产品技术资料 应用 分析 RF 器件 子系统和系统 制造测试 移动现场操作 RSA600 系列节省了您的时间, 助您马到成功 RSA600A 系列 USB 频谱分析仪在携带异常方便的小型仪器中提供了高带宽实验室频谱分析功能 主要特点和"

Transcription

1 便携式频谱分析仪 频谱分析仪 RSA600A 系列便携式频谱分析仪产品技术资料 应用 分析 RF 器件 子系统和系统 制造测试 移动现场操作 RSA600 系列节省了您的时间, 助您马到成功 RSA600A 系列 USB 频谱分析仪在携带异常方便的小型仪器中提供了高带宽实验室频谱分析功能 主要特点和优点 9 khz ~ 3.0/7.5 GHz 频率范围, 满足各种分析需求 40 MHz 采集带宽, 实现实时分析, 支持瞬态信号捕获和 矢量分析 0.2 db 幅度精度, 直到 3 GHz (95% 置信度 ) 标配 GPS/GLONASS/ 北斗接收机 选配跟踪发生器, 进行增益 / 损耗 天线和电缆测量 可以使用流式捕获记录和播放长期事件 SignalVu-PC 软件提供实时信号处理及 DPX 频谱 / 三维频 谱图, 最大限度地减少搜寻瞬态事件各干扰中花费的时间 以 100% 侦听概率捕获持续时间最短 100ms 的信号, 确保 一次每次都看到问题 RSA600 系列提供了实时频谱分析功能和宽分析带宽, 解决需要分析 验证和制造设计的工程师面临的问题 系统核心是基于 USB 的 RF 频谱分析仪, 它捕获 40 MHz 带宽, 实现了优异的保真度 由于 70 db 动态范围及高达 7.5 GHz 的频率范围, 您可以满怀信心地分析带宽高达 40 MHz 的宽带信号 USB 形式把处理能力转移到您选择的 PC 中, 您可以决定什么时候需要更多的处理能力或内存 选配跟踪发生器可以测量增益 / 损耗, 迅速测试滤波器 放大器 双工器和其他器件, 您可以按需增加电缆和天线的 VSWR 回波损耗 故障测距 电缆损耗等测量 SignalVu-PC 为您的实验室提供了丰富的分析功能 RSA600 系列运行 SignalVu-PC, 这一强大的程序是泰克传统频谱分析仪的基础, 提供了经济型实验室解决方案以前没有提供的深入分析功能 DPX 频谱 / 三维频谱图的实时处理在电脑中进行, 进一步降低了硬件的成本 需要编程接入仪器的客户既可以选择 SignalVu-PC 编程接口, 也可以使用标配的应用编程接口 (API), 其提供了一套丰富的命令和测量功能 免费的 SignalVu-PC 程序的基本功能远远不只是基本功能 下面显示了基本版本测量 标配应用编程接口, 开发自定义程序 多种附件, 包括平板电脑 校准套件 适配器和相位稳定 电缆, 为设计 特性分析和制造提供完整的解决方案 cn.tek.com 1

2 产品技术资料 SignalVu-PC 基本版本中标配的测量和功能 通用信号分析说明频谱分析仪覆盖 100 Hz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记及功率 相对功率 综合功率 功率密度和 dbc/hz 功能 DPX 频谱 / 三维频实时显示频谱, 在高达 40 MHz 频宽中谱图以 100% 检测概率检测 100 微秒信号幅度 频率 相位基本矢量分析功能随时间变化,RFI 和 Q 随时间变化时间概况 / 导航器可以方便地设置采集和分析时间, 在多个域中进行深入分析频谱图在二维或三维瀑布图中分析和再分析信号 AM/FM 侦听收听 FM 和 AM 信号, 并记录到文件中信号记录记录 40 MHz 带宽, 在所有域中进行重复分析, 包括实时频谱分析 ( 要求应用 SV56 进行播放 ) 模拟调制分析说明 AM, FM, PM 分析测量关键 AM, FM, PM 参数 RF 测量说明杂散测量用户自定义极限行和区域在整个仪器范围内提供了自动频谱违规测试功能 频谱辐射模板用户设置或特定标准模板 占用带宽测量 99% 功率, -xdb 下降点 通道功率和 ACLR 可变通道和邻道 / 迂回通道参数 MCPR 完善灵活的多通道功率测量 CCDF 互补累积分布函数绘制信号电平的统计方差 信号强度及音频音调测量信号强度, 显示频谱和信号强度条, 搜寻干扰, 评估信号质量 RSA600A 与 SignalVu-PC 相结合, 提供先进的测量功能 由于 40 MHz 实时带宽, 独特的 DPX 频谱 / 三维频谱图显示了干扰信号或未知信号的每个发生时点, 支持最短 100μs 的持续时间 下图显示了 WLAN 传输 ( 绿色和橙色 ), 在屏幕中重复出现的窄信号是一只蓝牙接入探头 三维频谱图 ( 屏幕上方部分 ) 在时间上把这些信号清楚地分开, 显示任何信号碰撞 通过无人值守的模板监测功能, 可以简便地查找意想不到的信号 可以在 DPX 频谱画面上创建一个模板, 在每次违规时采取相应操作, 包括停止采集 保存图片 保存采集或发送听得到的告警声 在下图中, 模板中红色的地方发生了模板违规, 保存了得到的屏幕图片 模板测试可以用于无人值守监测, 在播放记录的信号时, 可以在相同信号上测试不同的违规 2 cn.tek.com

3 便携式频谱分析仪 跟踪发生器 (RSA600 上的选项 04) 通过 SignalVu-PC 控制 在这里, 您可以输入开始频率 - 停止频率, 设置频宽中的步进数量, 调节基准电平, 使用校准功能归一化跟踪发生器 下面显示了 800 MHz ~ 3 GHz 的带通滤波器 蓝牙 SignalVu-PC 特定应用许可 SignalVu-PC 提供了大量面向应用的选项, 包括 : 通用调制分析 (27 种调制类型, 包括 16/32/64/256 QAM QPSK O-QPSK GMSK FSK APSK) 蓝牙低能耗 基本速率和增强数据速率分析 通过应用 SV27, 可以在时域 频域和调制域中执行基于蓝牙 SIG 标准的发射机 RF 测量 这一应用支持蓝牙 SIG 测试规范 RF.TS 基本速率规范和 RF-PHY.TS 蓝牙低能耗规范规定的基本速率和低能耗发射机测量 应用 SV27 还自动检测增强数据速率包, 解调这些数据包, 并提供符号信息 符号表中为数据包字段加上颜色编码, 可以清楚地进行识别 通过 / 失败结果带有可以量身定制的极限, 蓝牙预置功能只需按一下按钮, 就可以获得不同的测试设置 下面的测量显示了方差随时间变化 频率偏置和漂移及带有通过 / 失败结果的测试摘要 P25 第一期和第二期信号分析 WLAN a/b/g/j/p n ac 分析 LTE FDD 和 TDD 基站 (enb) 小区号和 RF 测量 地图绘制 脉冲分析 AM/FM/PM/ 直接音频测量, 包括 SINAD THD 播放记录的文件, 包括在所有域中进行全面分析 信号分类和勘测 详情和订货信息请参阅单独的 SignalVu-PC 产品技术资料 下面介绍了部分应用 APCO 25 SignalVu-PC 应用 SV26 分析 APCO P25 信号 下图显示了使用三维频谱图监测第二期 HCPM 信号中的异常事件, 同时根据 TIA-102 标准规范执行发射机功率 调制和频率测量 通用调制分析 SignalVu-PC 应用 SV21 把 27 种不同的调制类型融合到一个分析套件中, 提供了星座图 眼图 符号表 格子图 调制质量摘要等等 符号速率和滤波器类型可以调节, 并标配内置均衡器, 用来优化信号 下图是使用 pi/4dqpsk 调制以 18.0 k 符号 / 秒调制的 TETRA 标准信号 cn.tek.com 3

4 产品技术资料 WLAN a/b/g/j/p/n/ac 通过选项 SV23 24 和 25, 可以简便地执行完善的 WLAN 测量 在下图所示的 ac (20 MHz) 信号上, 三维频谱图显示了初始导频序列, 后面是主信号突发 对数据包, 调制自动检测为 64 QAM, 显示为星座图 数据摘要表明 EVM 为 db RMS, 突发功率测得 dbm SignalVu-PC 应用适用于直到 40 MHz 带宽的 a/b/j/ g/p n 和 ac LTE 应用 SV28 可以实现下面的 LTE 基站发射机测量 : 小区号 通道功率 占用带宽 邻道泄漏比 (ACLR) 频谱辐射模板 (SEM) TDD 发射机关闭功率 这些测量满足 3GPP TS 第 12.5 版中的定义, 支持所有基站 分类, 包括微微小区和家庭基站 报告测试通过 / 失败信息, 支持所有通道带宽 小区号预置在星座图中显示一级同步信号 (PSS) 和二级同步信号 (SSS) 它还提供频率误差 下图显示了频谱监测, 其中三维频谱图画面与小区号 / 星座图 频谱辐射模板 ACLR 测量结合使用 播放应用 SV56 播放记录的信号可以把观察等待频谱违规的时间从几小时缩短到几分钟, 您可以在桌面上复核记录的数据 记录长度只受存储介质容量限制, 记录是 SignalVu-PC 中标配的基本功能 SignalVu-PC 应用 SV56 播放可以全面分析所有 SignalVu-PC 测量数据, 包括 DPX 三维频谱图 在播放过程中保持最小信号持续时间指标 可以执行 AM/FM 音频解调 提供了可变频宽 解析带宽 分析长度和带宽 在下图中, 正在重播 FM 频段, 使用一个模板检测频谱违规, 同时侦听 92.3 MHz 中心频率的 FM 信号 4 cn.tek.com

5 便携式频谱分析仪 技术数据 除另行指明外, 所有技术数据均为保证值 除另行指明外, 所有技术数据均适用于所有型号 频率 频率范围 RSA603A RSA607A 9 khz ~ 3 GHz 9 khz ~ 7.5 GHz 频率标记读数精度 ±(RE MF 频宽 ) Hz RE: 参考频率误差 MF: 标记频率 [Hz] 参考频率精度校准时的初始精度 ±1 x 10-6 ( 预热 30 分钟后 ) 第一年老化, 典型值 ±1 x 10-6 (1 年 ) 累积误差 ( 初始精度 + 3 x 10-6 (1 年 ) 温度 + 老化 ), 典型值 温度漂移 ±0.9 x 10-6 (-10 ~ 60 C) 外部参考输入 BNC 连接器, 50W 标称值外部参考输入频率 1 ~ 20 MHz 每隔 1 MHz 及以下 : MHz, MHz, MHz, 4.8 MHz, MHz, MHz, 13 MHz 和 MHz 输入信号上的杂散电平在 100 khz 偏置范围内必须小于 -80 dbc, 以避免屏幕上的杂散 信号 外部参考输入范围 ± 5 ppm 外部参考输入电平 -10 ~ +10 dbm RF 输入 RF 输入 RF 输入阻抗 50W RF VSWR (RF Attn = 20 db), < 1.2 (10 MHz ~ 3 GHz) 典型值 < 1.5 (>3 GHz ~ 7.5 GHz) RF VSWR 预放开, 典型值 < 1.5 (10 MHz ~ 6 GHz, RF ATT=10 db, 预放开 ) < 1.7 (> 6 GHz ~ 7.5 GHz, RF ATT=10 db, 预放开 ) 最大 RF 输入电平最大 DC 电压 ±40 V (RF 输入 ) 最大安全输入功率 +33 dbm (RF 输入, 10 MHz ~ 7.5 GHz, RF Attn 20 db) +13 dbm (RF 输入, 9 khz ~ 10 MHz) +20 dbm (RF 输入, RF Attn < 20 db) cn.tek.com 5

6 产品技术资料 RF 输入 最大安全输入功率 +33 dbm (RF 输入, 10 MHz ~ 7.5 GHz, RF Attn 20 db) ( 预放开 ) +13 dbm (RF 输入, 9 khz ~ 10 MHz) 可测量的最大输入功率 +30 dbm (RF 输入, 10 MHz ~ Fmax, RF ATT Auto) +20 dbm (RF 输入, <10 MHz, RF ATT Auto) 输入 RF 衰减器 0 db ~ 51 db (1 db 步长 ) 幅度和 RF 幅度和 RF 平坦度 参考电平设置范围 -170 dbm ~ +40 dbm, 0.1 db 步长, ( 标准 RF 输入 ) 幅度精度, 在所有中心频率下 18 ~ ~ 28, 典型值 -10 ~ 55, (95% 置信度 ) 典型值 9 khz ~ 3.0 GHz ±0.8 db ±0.2 db ±1.0 db > 3 ~ 7.5 GHz ±1.5 db ±0.6 db ±2.0 db 幅度精度, 在所有中心频率下, 预放开 (18 ~ 28, 10 db RF 衰减器 ) 中心频率范围 18 ~ ~ 28, 典型值 18 ~ 28, 典型值 (95% 置信度 ) 100 khz~ 3.0 GHz ±1.0 db ±0.5 db ±1.0 db > 3 ~ 7.5 GHz ±1.75 db ±0.75 db ±3.0 db 预放增益 27 2 GHz 21 6 GHz (RSA607A) 通道响应 ( 幅度和相位方差 ), 对这些指标, 对最大 CW 幅度检验精度应使用平顶窗口, 并把 RF 衰减器设置在 10 db 典型值 特点 说明 测量中心频率 频宽 幅度平坦度, 典型值 幅度平坦度, RMS, 典型值 相位线性度, RMS, 典型值 9 khz ~ 40 MHz 40 MHz 1 ±1.0 db 0.60 db >40MHz~4.0 GHz 20 MHz ±0.10 db 0.08 db 0.3 >4GHz~7.5 GHz 20 MHz ±0.35 db 0.20 db 0.7 >40MHz~4 GHz 40 MHz ±0.15 db 0.08 db 0.6 >4GHz~7.5 GHz 40 MHz ±0.40 db 0.20 db 1.0 通道响应 ( 幅度平坦度 ) 对这些指标, 使用平顶窗口, 实现最大 CW 幅度检验精度,RF 衰减器设置在 10 db 这些技术数据适用于表的最后列出的测试中心频率 特点说明幅度平坦度频宽 20 MHz ±0.5 db 40 MHz ±0.5 db 测试中心频率 (MHz) 21,30,500,1000,1500,2000,2500,3000,3500,3950,4050,4500, 4850,4950,5500,5750,5850,6200,6650,6750, 7000, 频宽范围不能超过仪器的频率下限 6 cn.tek.com

7 便携式频谱分析仪 触发 触发 /Sync 输入, 典型值 电压范围 : TTL, 0.0 V ~ 5.0 V 触发电平 (Schmitt 触发 ): 正向阈值电压 : 1.6 V min, 2.1 V max 负向阈值电压 : 1.0 V min., 1.35 V max 阻抗 : 10 kw, 采用 schottky 钳夹, 0 V, +3.4 V 外部触发定时不确定度 >20 MHz ~ 40 MHz 采集带宽 : ±250 ns 不确定度随着采集带宽下降而提高 功率触发功率触发, 典型值范围 : 距参考电平 0 db ~ -50 db, 适用于触发电平高于噪底 > 30 db 类型 : 上升沿或下降沿 触发再准备时间 : 100 ms 功率触发位置定时不确定度 >20 MHz ~ 40 MHz 采集带宽 : ±250 ns 不确定度随着采集带宽下降而提高 功率触发电平精度 ±1.5 db, 适用于调谐中心频率 CW 信号, 且触发电平高于噪底 > 30 db 这一指标还要考虑 SA 模式下的整体幅度精度不确定度 噪声和失真 除另行指明外, 所有噪声和失真测量均是在预放关的情况下进行的 三阶 IM 侦听 (TOI) GHz 三阶 IM 侦听 (TOI), 预放关, 典型值 +10 dbm (9 khz ~ 25 MHz) +15 dbm (25 MHz ~ 3 GHz) +15 dbm (3 GHz ~ 4 GHz, RSA607A ) +10 dbm (4 GHz ~ 7.5 GHz, RSA607A) 预放开, 典型值 -20 dbm (9 khz ~ 25 MHz) -15 dbm (25 MHz ~ 3 GHz) -15 dbm (3 GHz ~ 4 GHz, RSA607A ) -20 dbm (4 GHz ~ 7.5 GHz, RSA607A) 三阶互调制失真 GHz RF 输入上每个信号电平 -25 dbm 2 MHz 音调隔离 衰减器 = 0, 参考电平 = -20 dbm cn.tek.com 7

8 产品技术资料 噪声和失真 三阶互调制失真 预放关, 典型值 < -70 dbc (10 khz ~ 25 MHz) < -80 dbc (25 MHz ~ 3 GHz) < -80 dbc (3 GHz ~ 4 GHz) < -70 dbc (4 GHz ~ 6 GHz, RSA607A) < -70 dbc (6 GHz ~ 7.5 GHz, RSA607A) RF 输入上每个信号电平 -25 dbm 2 MHz 音调隔离 衰减器 = 0, 参考电平 = -20 dbm 预放开, 典型值 < -70 dbc (9 khz ~ 25 MHz) < -80 dbc (25 MHz ~ 3 GHz) < -80 dbc (3 GHz ~ 4 GHz) < -70 dbc (4 GHz ~ 6 GHz, RSA607A) < -70 dbc (6 GHz ~ 7.5 GHz, RSA607A) RF 输入上每个信号电平 -55 dbm 2 MHz 音调隔离 衰减器 = 0, 参考电平 = -50 dbm 二阶谐波失真, 典型值 二阶谐波失真 < -75 dbc (40 MHz ~ 1.5 GHz) < -75 dbc (1.5 GHz ~ 3.75 GHz, RSA607A) 二阶谐波失真, 预放开 二阶谐波失真侦听 (SHI) < - 60 dbc, 40 MHz ~ 13.5 GHz, 输入频率 +35 dbm, 40 MHz ~ 1.5 GHz, 输入频率 +35 dbm, 1.5 GHz ~ 3.75 GHz, 输入频率 二阶谐波失真侦听 (SHI), 预放开显示的平均噪声电平 (DANL) +15 dbm, 40 MHz ~ 3.75 GHz, 输入频率 ( 归一化到 1 Hz RBW, 采用对数平均检测器 ) 频率范围 预放开 预放开, 典型值 预放关, 典型值 500 khz~1mhz -138 dbm/hz -145 dbm/hz -130 dbm/hz 1MHz~25MHz -153 dbm/hz -158 dbm/hz -130 dbm/hz >25MHz~1GHz -161 dbm/hz -164 dbm/hz -141 dbm/hz >1GHz ~ 2GHz -159 dbm/hz -162 dbm/hz -141 dbm/hz >2 GHz ~ 3GHz -156 dbm/hz -159 dbm/hz -138 dbm/hz >3GHz~4.2GHz, RSA607A - dbm/hz - dbm/hz -138 dbm/hz >4.2GHz~6GHz, RSA607A -159 dbm/hz -162 dbm/hz -147 dbm/hz >6GHz~7.5GHz, RSA607A -155 dbm/hz -158 dbm/hz -145 dbm/hz 8 cn.tek.com

9 便携式频谱分析仪 相位噪声 相位噪声 偏置 1 GHz CF 1 GHz CF ( 典型值 ) 2 GHz CF ( 典型值 ) 6 GHz CF, (RSA607A) 10 MHz ( 典型值 ) ( 典型值 ) 10 khz -94 dbc/hz -97 dbc/hz -96 dbc/hz -94 dbc/hz -120 dbc/hz 100 khz -94 dbc/hz -98 dbc/hz -97 dbc/hz -96 dbc/hz -124 dbc/hz 1 MHz -116 dbc/hz -121 dbc/hz -120 dbc/hz -120 dbc/hz -124 dbc/hz 积分相位 (RMS), 典型值 7.45 x GHz 8.24 x GHz 9.34 x GHz 10 khz ~ 10 MHz 积分 杂散响应残余杂散响应 <-75 dbm (500 khz ~ 60 MHz), 典型值 ( 参考 = 30 dbm, RBW =1 khz) < -85 dbm (>60 MHz ~ 80 MHz), 典型值 <-100 dbm (>80 MHz ~ 7.5 GHz) 杂散响应, 带信号 ( 镜频抑制 ) < -65 dbc (10 khz ~ < 3 GHz, Ref= -30 dbm, Atten = 10 db, RF 输入电平 = -30 dbm, RBW = 10 Hz) < -65 dbc (3 GHz ~ 7.5 GHz, Ref= -30dBm, Atten = 10 db, RF 输入电平 = -30 dbm, RBW = 10 Hz) 杂散响应, 信号在 CF 处 偏置 1 MHz 频率 频宽 40 MHz, 扫描频宽 s >40 MHz 典型值 1 MHz MHz -75 dbc 100 MHz - 3 GHz -72 dbc -75 dbc 3 GHz GHz (RSA607A) -72 dbc -75 dbc 杂散响应, 信号在 CF 处 150 khz 偏置 <1 MHz, 频宽 =1 MHz 频率 典型值 1 MHz MHz -70 dbc 100 MHz - 3 GHz -70 dbc 3 GHz GHz (RSA607A) -70 dbc 2 杂散响应, 信号在 CF 以外的频率处, 典型值 频率 1 MHz - 25 MHz (LF 频段 ) 25 MHz - 3 GHz 3 GHz GHz (RSA607A) 频宽 40 MHz, 扫描频宽 >40 MHz -73 dbc -73 dbc -73 dbc 2 电源边带, khz: -67 dbc, 典型值 cn.tek.com 9

10 产品技术资料 杂散响应 3 信号在半 IF 处 RSA603A, RSA607A < -60 dbc, (CF: 30 MHz ~ 3 GHz, Ref = -30 dbm, Atten = 10 db, RBW = 10 Hz, 频宽 = 10 khz) 信号频率 = 2310 MHz, RF 输入电平 = -30 dbm RSA607A <-60 dbc, (CF 3 G Hz ~ 7.5 GHz, Ref= -30 dbm, Atten = 10 db, RBW=10 Hz, 频宽 =10 khz) RF 输入电平 = -30 dbm 本振馈通到输入连接器, 典型值 < -70 dbm, 预放关 < -90 dbm, 预放开 衰减器 = 10 db 采集 IF 带宽 40 MHz 模数转换器 14 位, 112 Ms/s 实时 IF 采集数据 112 Ms/s, 16 位整数样点 GPS 定位 格式 GPS/GLONASS/ 北斗 GPS 天线功率 3 V, 100 ma 最大 第一次固定时间, 最大值 锁定时间范围从 2 秒 ( 热启动 ) 到 40 秒 ( 冷启动 ) -130 dbm 输入信号功率 水平位置精度 GPS: 2.6 m Glonass: 2.6 m 北斗 : 10.2 m GPS + Glonass: 2.6 m GPS + 北斗 : 2.6 m 测试条件 : 24 小时静态, -130 dbm, 全功率 跟踪发生器 ( 选项 04) 跟踪发生器 ( 选项 04) 频率范围 10 MHz ~ 3 GHz 10 MHz ~ 7.5 GHz 扫描速度 6700 MHz/ 秒, 101 点, 50 khz RBW ( 每点 11 ms) 使用松下 Toughpad FZ-G1, Intel Core i5-5300u 2.3 GHz 处理器, 8 GB RAM, 256 GB SSD,Windows 7 Pro 测量 频率分辨率 100 Hz TG 输出连接器 N 型 VSWR < 1.8:1, 10 MHz ~ 7.5 GHz, -20 dbm 输出电平最大输出功率 -3 dbm 3 这是 IF 频率一半处的输入信号 10 cn.tek.com

11 便携式频谱分析仪 跟踪发生器 ( 选项 04) 输出功率电平设置范围 40 db 输出功率电平步长 1 db 输出功率电平步长精度 ± 0.5 db 输出电平精度 ± 1.5 db, 10 MHz ~ 7.5 GHz, -20 dbm 输出电平 谐波 < -22 dbc 非谐波杂散信号 < -30 dbc; 距 TG 输出频率的杂散信号 < 2 GHz < -25 dbc; 距 TG 输出频率的杂散信号 2 GHz 无损坏反向功率 40 Vdc, +20 dbm RF 传输增益测量误差 +20 ~ -40 db 增益 : ±1 db 传输增益测量动态范围 70 db SignalVu-PC 标配测量和性能 标配测量 通用信号分析频谱分析仪频宽 1 khz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记 : 功率 相对功率 综合功率 功率密度和 dbc/ Hz 功能 DPX 频谱 / 三维频谱图实时显示频谱, 在高达 40 MHz 频宽中以 100% 检测概率检测 100ms 信号幅度 频率 相位随时间变化, 基本矢量分析功能 RF I 和 Q 随时间变化时间概况 / 导航器可以方便地设置采集和分析时间, 在多个域中进行深入分析三维频谱图在二维或三维瀑布图中分析和再分析信号 AM/FM 收听收听 FM 和 AM 信号, 并记录到文件中模拟调制分析 AM FM PM 分析测量关键 AM FM PM 参数 RF 测量杂散信号测量用户自定义极限行和区域在整个仪器范围内提供了自动频谱违规测试功能 频谱辐射模板用户设置的模板或特定标准模板 占用带宽测量 99% 功率,-xdB 下行点 通道功率和 ACLR 可变通道和相邻 / 迂回通道参数 MCPR 完善灵活的多通道功率测量 CCDF 互补累积分布函数绘制信号电平的统计方差 SignalVu-PC/RSA607A 主要特点最大频宽 40 MHz 实时 9 khz - 3 GHz 扫描 9 khz GHz 扫描最大采集时间 1.0 s cn.tek.com 11

12 产品技术资料 SignalVu-PC 标准测量和性能最低 IQ 分辨率 17.9 ns ( 采集带宽 = 40 MHz) 调谐表为下列标准提供了调谐表, 其中用基于标准的通道方式表示频率选择 蜂窝标准家族 :AMPS, NADC, NMT-450, PDC, GSM, CDMA, CDMA-2000, 1xEV-DO WCDMA, TD-SCDMA, LTE, WiMax 无需牌照的短距离标准 : a/b/j/g/p/n/ac, 蓝牙 无绳电话 : DECT, PHS 广播 : AM, FM, ATSC, DVBT/H, NTSC 移动无线电, 寻呼机, 其他 : GMRS/FRS, iden, FLEX, P25, PWT, SMR, WiMax DPX 频谱显示 频谱处理速率 10,000/s (RBW = auto, 轨迹长度 801) DPX 位图分辨率 201x801 标记信息 幅度, 频率, 信号密度 100% 检测概率检测的 100ms 最短信号持续时间 频宽 : 40 MHz, RBW = Auto, Max-hold 开 由于 Microsoft Windows 操作系统下运行的程序的执行时间不确定, 在主机 PC 被其他 处理任务严重占用时, 可能满足不了这个指标 频宽范围 ( 连续处理 ) 1 khz ~ 40 MHz 频宽范围 ( 扫描 ) 直到仪器的最大频率范围 每步驻留时间 50 ms ~ 100 s 轨迹处理 颜色等级位图, +Peak, -Peak, 平均 轨迹长度 801, 2401, 4001, RBW 范围 1 khz ~ 10 MHz DPX 三维频谱图显示轨迹检测 +Peak, -Peak, 平均 (VRMS) 轨迹长度, 内存深度 801 (60,000 条轨迹 ) 2401 (20,000 条轨迹 ) 4001 (12,000 条轨迹 ) 每行的时间分辨率 50 ms ~ 6400 s, 用户可以选择 频谱显示 轨迹 3 条轨迹 + 1 条数学轨迹 + 1 条用于频谱显示的三维频谱图轨迹 轨迹函数 正常, 平均 (VRMS), Max Hold, Min Hold, 对数平均值 检测器 平均 (VRMS), 平均, CISPR 峰值, +Peak, -Peak, 采样 频谱轨迹长度 801 点, 2401 点, 4001 点, 8001 点,10401 点, 点, 点和 点 RBW 范围 10 Hz ~ 10 MHz 12 cn.tek.com

13 便携式频谱分析仪 SignalVu-PC 标准测量和性能模拟调制分析 ( 标配 ) AM 解调精度, 典型值 ±2% 0 dbm 中心输入, 载频 1 GHz, 1 khz/5 khz 输入 / 调制频率, 10% ~ 60% 调制深度 0 dbm 输入功率电平, 参考电平 = 10 dbm, Atten=Auto FM 解调精度, 典型值 ±1% 的频宽 0 dbm 中心输入, 载频 1 GHz, 400 Hz/1 khz 输入 / 调制频率 0 dbm 输入功率电平, 参考电平 = 10 dbm, Atten=Auto PM 解调精度, 典型值 ±3% 的测量带宽 0 dbm 中心输入, 载频 1 GHz, 1 khz/5 khz 输入 / 调制频率 0 dbm 输入功率电平, 参考电平 = 10 dbm, Atten=Auto 信号强度显示 信号强度指示灯 测量带宽 音调类型 位于显示画面右侧 高达 40 MHz, 具体取决于频宽和 RBW 设置 频率根据收到的信号强度变化 扫描速度全频宽扫描速度全频宽扫描速度, 典型值 5500 MHz/sec (RBW = 1 MHz) 5300 MHz/sec (RBW = 100 khz) 3700 MHz/sec (RBW = 10 khz) 950 MHz/sec (RBW = 1 khz) 使用松下 Toughpad FZ-G1, Intel Core i5-5300u 2.3 GHz 处理器, 8 GB RAM, 256 GB SSD, Windows 7 Pro 测量 频谱显示仅为屏幕上的测量项目 通过 API 调谐步长时间 1 ms SignalVu-PC 应用性能摘要 AM/FM/PM 和直接音频测量 (SVAxx-SVPC) 载频范围 ( 用于调制和 (1/2 音频分析带宽 ) 到最大输入频率音频测量 ) 最大音频频宽 10 MHz FM 测量载波功率, 载频误差, 音频频率, 方差 (+Peak, -Peak, Peak-Peak/2, RMS), SINAD, 调 ( 调制索引 >0.1) 制失真, S/N, 总谐波失真, 总非谐波失真, 杂音和噪声 AM 测量载波功率, 音频频率, 调制深度 (+Peak, -Peak, Peak-Peak/2, RMS), SINAD, 调制 失真, S/N, 总谐波失真, 总非谐波失真, 杂音和噪声 cn.tek.com 13

14 产品技术资料 SignalVu-PC 应用性能摘要 PM 测量载波功率, 载频误差, 音频频率, 方差 (+Peak, -Peak, Peak-Peak/2, RMS), SINAD, 调 制失真, S/N, 总谐波失真, 总非谐波失真, 杂音和噪声 音频滤波器 低通, khz: 0.3, 3, 15, 30, 80, 300 和用户输入, 最高 0.9 音频带宽 高通, Hz: 20, 50, 300, 400 和用户输入, 最高 0.9 音频带宽 标准 : CCITT, C-Message 加重 (μs): 25, 50, 75, 750 和用户输入 文件 : 用户提供的由幅度 / 频率对组成的.TXT 或.CSV 文件, 最多 1000 对 性能特点, 典型条件 : 除另行指明外, 性能适用于 : 值 调制速率 = 5 khz AM 深度 : 50% PM 方差 弧度 FM AM PM 条件 载波功率精度 参阅仪器幅度精度 载频精度 ± 0.5 Hz + ( 发参阅仪器频率精 ± 0.2 Hz + ( 发 FM 方差 : 1 khz 射机频率 基度准频率误差 ) 射机频率 基 /10 khz 准频率误差 ) 调制深度精度 - ± 0.2%+(0.01 * - 速率 :1kHz ~100 测得值 ) khz 深度 : 10%~90% 方差精度 ± (1% ( 速率 - ± 100% * (0.01 FM 方差 : 1 khz + 方差 )+50 Hz) +( 测得速率 /1 ~ 100 khz MHz)) 速率精度 ± 0.2 Hz ± 0.2 Hz ± 0.2 Hz FM 方差 : 1 khz ~ 100 khz 残余 THD 0.10% 0.13% 0.1% FM 方差 : 5 khz 速度 : 1 khz ~ 100 khz 深度 : 50% 残余 SINAD 43 db 58 db 40 db 方差 5 khz 速率 : 1 khz ~ 100 khz 深度 : 50% APCO P25 测量 (SV26xx-SVPC) 测量 RF 输出功率, 工作频率精度, 调制辐射频谱, 不想要的杂散辐射, 邻道功率比, 频率方差, 调制保真度, 频率误差, 眼图, 符号表, 符号速率精度, 发射机功率和编码器攻击时间, 发射机吞吐时延, 频率方差与时间关系, 功率与时间关系, 瞬态频率行为, HCPM 发射机 逻辑通道峰值邻道功率比, HCPM 发射机逻辑通道时隙外功率, HCPM 发射机逻辑通道 功率包络, HCPM 发射机逻辑通道时间对准, 交叉相关标记调制保真度, 典型值 CF=460 MHz, 815 MHz C4FM 1.0% HCPM 0.5% HDQPSK 0.25% 输入信号电平为最佳调制保真度优化 14 cn.tek.com

15 便携式频谱分析仪 SignalVu-PC 应用性能摘要蓝牙测量 (SV27xx-SVPC) 调制格式 基本速率, 蓝牙低能耗, 增强数据速率 - 修订版 包类型 :DH1, DH3, DH5 (BR), 基准 (LE) 测量 峰值功率, 平均功率, 邻道功率或带内辐射模板, -20dB 带宽, 频率误差, 调制特点包括 ΔF1avg ( ), ΔF2avg ( ), ΔF2 > 115 khz, ΔF2/ΔF1 比, 频率方差与 时间关系及包级和字节级测量信息, 载频 f 0, 频率偏置 ( 前置码和净荷 ), 最大频率偏置, 频率漂移 f 1 -f 0, 最大漂移速率 f n -f 0 和 f n -f n-5, 中心频率偏置表和频率漂移表, 带色码 的符号表, 包头解码信息, 眼图, 星座图输出功率, 带内辐射和 ACP 电平不确定度 : 参阅仪器幅度和平坦度指标 测量范围 : 信号电平 > -70 dbm 调制特点 方差范围 :±280 khz 方差不确定度 ( 在 0 dbm 时 ) <2 khz 4 + 仪器频率不确定度 ( 基本速率 ) <3 khz 4 + 仪器频率不确定度 ( 低能耗 ) 测量范围 : 标称通道频率 ±100 khz 初始载频容限 (ICFT) 测量不确定度 ( 在 dbm 时 ):<1 khz + 仪器频率不确定度 测量范围 : 标称通道频率 :±100 khz 载频漂移 测量不确定度 :<1 khz + 仪器频率不确定度 测量范围 : 标称通道频率 ±100 khz 通用数字调制分析 (SVMxx-SVPC) 调制格式 BPSK, QPSK, 8PSK, 16QAM, 32QAM, 64QAM, 256QAM, PI/2DBPSK, DQPSK, PI/4DQPSK, D8PSK, D16PSK, SBPSK, OQPSK, SOQPSK, MSK, GFSK, CPM, 2FSK, 4FSK, 8FSK, 16FSK, C4FM 分析周期 高达 81,000 样点测量滤波器 升余弦根, 升余弦, 高斯, 矩形, IS-95 TX_MEA, IS-95 Base TXEQ_MEA, 无参考滤波器 高斯, 升余弦, 矩形, IS-95 REF, 无滤波器滚降因数 α:0.001 ~ 1, 步长测量 星座图, 解调 I&Q 与时间关系, 误差矢量幅度 (EVM) 与时间关系, 眼图, 频率方差与时间 关系, 幅度误差与时间关系, 相位误差与时间关系, 信号质量, 符号表, 格子图符号速率范围 1 k 符号 / 秒到 40 M 符号 / 秒 被调制信号必须被整个包含在采集带宽内自适应均衡器 线性 判定导引 前馈 (FIR) 均衡器, 支持系数适配和可调节收敛速率 支持以下调制类 型 :BPSK, QPSK, OQPSK, π/2-dbpsk, π/4-dqpsk, 8-PSK, 8-DSPK, 16-DPSK, 16/32/64/128/256-QAM 4 在 0 dbm 标称值功率电平时 cn.tek.com 15

16 产品技术资料 SignalVu-PC 应用性能摘要 QPSK 残余 EVM 0.6 % (100 khz 符号速率 ) ( 中心频率 = 2 GHz), 0.8 % (1 MHz 符号速率 ) 典型值 0.8 % (10 MHz 符号速率 ) 0.8 % (30 MHz 符号速率 ) 400 个符号测量长度, 平均 20 次, 归一化参考 = 最大符号幅度 256 QAM 残余 EVM 0.6 % (10 MHz 符号速率 ) ( 中心频率 = 2 GHz), 0.7 % (30 MHz 符号速率 ) 典型值 400 个符号测量长度, 平均 20 次, 归一化参考 = 最大符号幅度 LTE 下连 RF 测量 (SV28xx-SVPC) 支持的标准 3GPP TS Version 12.5 支持的帧格式 FDD 和 TDD 支持的测量和显示 邻道泄漏比 (ACLR), 频谱辐射模板 (SEM), 通道功率, 占用带宽, 功率与时间关系, 显示 TDD 信号的发射机关闭功率及一级同步信号 二级同步信号的 LTE 星座图, 含小区号 群号 扇区号和频率误差 ACLR 及 E-UTRA 频段 第一条邻道 60 db (RSA607A) ( 标称值, 支持噪声校正 ) 第二条邻道 62 db (RSA607A) 地图 (MAPxx-SVPC) 支持的地图类型 Pitney Bowes MapInfo (*.mif), 位图 (*.bmp), Open Street Maps (.osm) 保存的测量结果 测量数据文件 ( 导出的结果 ) 测量使用的地图文件 Google Earth KMZ 文件 可以调用的结果文件 ( 轨迹和设置文件 ) 兼容 MapInfo 的 MIF/MID 文件 脉冲测量 (SVPxx-SVPC) 测量 ( 标称 ) 平均脉冲功率 峰值功率 平均发射功率 脉冲宽度 上升时间 下降时间 重复周期 ( 秒 ) 重复间隔 (Hz) 占空比 (%) 占空比 ( 比率 ) 纹波 衰落 脉冲到脉冲频率差 脉冲到脉冲相位差 RMS 频率误差 最大频率误差 RMS 相位误差 最大相位误差 频 率方差 相位方差 时间标记 增量频率 脉冲响应 过冲最小检测脉宽 150 ns 18 C - 28 C 时平均 ±0.3 db + 绝对幅度精度 ON 功率, 典型值 适用于脉冲宽度为 300 ns 或以上 占空比为.5 ~.001 信噪比 30 db 占空比, 典型值 ±0.2% 的读数 适用于脉冲宽度为 450 ns 或以上 占空比为.5 ~.001 信噪比 30 db 平均发送功率, 典型值 ±0.5 db + 绝对幅度精度 适用于脉冲宽度为 300 ns 或以上 占空比为.5 ~.001 信噪比 30 db 峰值脉冲功率, 典型值 ±1.2 db + 绝对幅度精度 适用于脉冲宽度为 300 ns 或以上 占空比为.5 ~.001 信噪比 30 db 脉宽, 典型值 ±0.25% 的读数 适用于脉冲宽度为 450 ns 或以上 占空比为.5 ~.001 信噪比 30 db 16 cn.tek.com

17 便携式频谱分析仪 SignalVu-PC 应用性能摘要 播放记录的文件 (SV56xx-SVPC) 播放文件类型 RSA600 记录的 R3F 文件 RSA306 RSA500 或 RSA600 记录的 R3F 文件记录的文件带宽 40 MHz 文件播放控制 整体控制 : 播放, 停止播放, 退出播放 位置 : 播放开始点 / 结束点可以设置为 0-100% 跳过 : 规定的跳过长度为 73 μs 到文件大小的 99% 实时速率 : 按记录时间 1:1 比率播放 循环控制 : 播放一次, 或连续循环内存要求 记录信号要求存储器的写入速率为 300 MB/s 以实时速率播放记录的文件要求存储器的 读取速率为 300 MB/s WLAN 测量, a/b/g/j/p (SV23xx-SVPC) 测量 WLAN 功率与时间关系 ; WLAN 符号表 ; WLAN 星座图 ; 频谱辐射模板 ; 误差矢量幅度 (EVM) 与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 幅度误差与符号 ( 或时间 ) 关 系, 与副载波 ( 或频率 ) 关系 ; 相位误差与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关 系 ; 通道频响与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 频谱平坦度与符号 ( 或时 间 ) 关系, 与副载波 ( 或频率 ) 关系残余 EVM a/g/j /p 2.4 GHz, 20 MHz 带宽 : -39 db (OFDM), 64-QAM, 典型值 5.8 GHz, 20 MHz 带宽 : -38 db 输入信号电平为最佳 EVM 优化, 平均 20 个突发, 每个突发 16 个符号残余 EVM b, 2.4 GHz, 11 Mbps: 1.3 % CCK-11, 典型值输入信号电平为最佳 EVM 优化, 平均 1,000 个线性调频, BT =.61 WLAN 测量 n (SV24xx-SVPC) 测量 WLAN 功率与时间关系 ; WLAN 符号表 ; WLAN 星座图 ; 频谱辐射模板 ; 误差矢量幅度 (EVM) 与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 幅度误差与符号 ( 或时间 ) 关 系, 与副载波 ( 或频率 ) 关系 ; 相位误差与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关 系 ; 通道频响与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 频谱平坦度与符号 ( 或时 间 ) 关系, 与副载波 ( 或频率 ) 关系 EVM 性能 n, 2.4 GHz, 40 MHz 带宽 : -38 db 64-QAM, 典型值 5.8 GHz, 40 MHz 带宽 : -38 db 输入信号电平为最佳 EVM 优化, 平均 20 个突发, 每个突发 16 个符号 WLAN 测量 ac (SV25xx-SVPC) 测量 WLAN 功率与时间关系 ; WLAN 符号表 ; WLAN 星座图 ; 频谱辐射模板 ; 误差矢量幅度 (EVM) 与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 幅度误差与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 相位误差与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 通道频响与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 ; 频谱平坦度与符号 ( 或时间 ) 关系, 与副载波 ( 或频率 ) 关系 EVM 性能 ac, 5.8 GHz, 40 MHz 带宽 : -38 db 256-QAM, 典型值输入信号电平为最佳 EVM 优化, 平均 20 个突发, 每个突发 16 个符号 cn.tek.com 17

18 产品技术资料 28 V 噪声源驱动器 28 V 噪声源驱动输出输出电平 ma 输出电压开 / 关时间打开 : 100 ms 关闭 : 500 ms 输入和输出端口输入 输出和接口 RF 输入 N 型, 孔式外部频率参考输入 BNC, 孔式触发 / 同步输入 BNC, 孔式跟踪发生器源输出 N 型, 孔式 GPS 天线 SMA, 孔式 USB 设备端口 USB A 型 USB 状态 LED LED, 红 / 绿双色 LED 状态 : 红灯恒亮 :USB 电源已通, 或正在复位 绿灯恒亮 : 已经初始化, 可以使用 绿灯闪烁 : 正在把数据传送到主机 安装要求 最大功耗 ( 全负载时 ) RSA600A: 最大 45 W 涌入电流 最大峰值电流 2 A, 在 25 C (77 F) 时, 5 个工频周期, 产品已经关闭至少 30 秒后 冷却间隙 ( 适用于支撑面 ) 底部, 顶部 0 mm (0 英寸 ), 在安装支脚时 6.3 mm (0.25 英寸 ), 在没有安装支脚时 侧面 0 mm (0 英寸 ) 后面 : 38.1 mm (1.5 英寸 ) 18 cn.tek.com

19 便携式频谱分析仪 物理特点 物理特点 宽 mm (8.75 英寸 ) 高 75.0 mm (2.95 英寸 ) 长 mm (14.12 英寸 ) 净重 2.79 千克 (6.15 磅 ) 环境和安全温度工作时 -10 C ~ +55 C (+14 F ~ +131 F) 未工作时 - 51 C ~ +71 C (-60 F ~ +160 F) 湿度 MIL-PRF-28800F Class 2 工作时 : 5% ~ 95±5%RH ( 相对湿度 ),+10 C ~ 30 C (+50 F ~ 86 F) 以内时 5% ~ 75±5% RH,+30 C 以上 ~ 40 C (+86 F ~ 104 F) 时 5% ~ 45±5% RH,+40 C 以上 ~ +55 C (+86 F ~ +131 F) 时 <10 C (+50 F) 湿度没有控制, 无冷凝高度工作时 最高 3000 m (9,842 英尺 ) 未工作时 最高 m (39,370 英尺 ) 动态振动工作时泰克 Class 3 随机振动测试, 0.31 GRMS: Hz, 3 个轴, 每个轴 10 分钟未工作时 MIL-PRF-28800F Class g 2 /Hz., Hz, 每个轴 30 分钟, 3 个轴 ( 总共 30 分钟 ) 震动 工作时 未工作时 测试方法依据军事标准 MIL-PRF-28800F 1-4 超过军事标准 MIL-PRF-28800F 的要求 处理和运输 台式机处理, 工作时 MIL-PRF-28800F Class 3 运输中跌落, 未工作时 MIL-PRF-28800F Class 2 cn.tek.com 19

20 产品技术资料 订货信息 型号 RSA600A 系列 RSA600A 系列 USB 实时频谱分析仪, 40 MHz 采集带宽 RSA600 要求 PC 采用 Windows 7 Windows 8/8.1 或 Windows 10, 64 位操作系统 运 行 RSA600 要求一条 USB 3.0 连接 安装 SignalVu-PC 要求 8 GB RAM 和 20 GB 空闲硬盘空间 为实现 RSA600 实时功能的全部性能, 要求 Intel Core i7 第四代处理器 可以使用性能较低的处理器, 但实时性能会下降 贮存流式数据要求 PC 配备的硬盘能够支持 300 MB/s 的流存储速率 包括 :USB 3.0 电缆 (2 米 ), A-A 连接, 螺纹结合, 快速入门手册 ( 打印 ), 连接器保护罩, 电源线 ( 参见电源插头选项 ), 含 SignalVu-PC API 和文档文件的 U 盘 项目 RSA603A 选项 04 RSA607A 选项 04 RSA600RACK 说明 USB 实时频谱分析仪, 9 khz GHz, 40 MHz 采集带宽跟踪发生器, 10 MHz GHz USB 实时频谱分析仪, 9 khz- 7.5 GHz, 40 MHz 采集带宽跟踪发生器, 10 MHz GHz 机架安装套件,RSA500 和 RSA600 系列, 放置 1 台 RSA500A 或 2 台 RSA600A 选项 RSA600A 电源插头选项选项 A0 选项 A1 选项 A2 选项 A3 选项 A4 选项 A5 选项 A6 选项 A10 选项 A11 选项 A12 选项 A99 北美电源插头 (115 V, 60 Hz) 欧洲通用电源插头 (220 V, 50 Hz) 英国电源插头 (240 V, 50 Hz) 澳大利亚电源插头 (240 V, 50 Hz) 北美电源插头 (240 V, 50 Hz) 瑞士电源插头 (220 V, 50 Hz) 日本电源插头 (100 V, 50/60 Hz) 中国电源插头 (50 Hz) 印度电源插头 (50 Hz) 巴西电源插头 (60 Hz) 没有电源线 RSA600 语言选项选项 L0 选项 L1 选项 L2 选项 L3 选项 L4 选项 L5 英语手册法语手册意大利语手册德语手册西班牙语手册日语手册 20 cn.tek.com

21 便携式频谱分析仪 选项 L6 葡萄牙语手册 选项 L7 简体中文手册 选项 L8 繁体中文手册 选项 L9 韩语手册 选项 L10 俄语手册 RSA600A 服务选项 选项 C3 三年校准服务 选项 C5 五年校准服务 选项 D1 校准数据报告 选项 D3 三年校准数据报告 ( 要求选项 C3) 选项 D5 五年校准数据报告 ( 要求选项 C5) 选项 R3 三年维修服务 ( 含保内 ) 选项 R5 五年维修服务 ( 含保内 ) 保修 RSA600 系列保修 :3 年 FZ-G1 平板电脑 :3 年保修, 并带有商业级支持 ( 由松下在购买地区提供 ) cn.tek.com 21

22 产品技术资料 平板电脑 选配平板电脑控制器 采用泰克 RSA306B 和 RSA500A 系列频谱分析仪面向便携式应用的平板电脑控制器也可 以用于 RSA600A 系列 泰克在限定地区供应 Panasonic ToughPad FZ-G1, 具体请参 见下面的订货信息 项目说明供货地区 FZ-G1-N USB 频谱分析仪控制器, 松下加拿大, 哥伦比亚, 厄瓜多尔, ToughPad FZ-G1 包括平板墨西哥, 菲律宾, 新加坡, 美国电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 FZ-G1-C 仅中国 USB 频谱分析仪控制中国器, 松下 ToughPad FZ-G1 包括平板电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 FZ-G1-I 仅印度 USB 频谱分析仪控制印度器, 松下 ToughPad FZ-G1 包括平板电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 FZ-G1-E 仅日本 USB 频谱分析仪控制奥地利, 波罗的海诸国, 比利时, 器, 松下 ToughPad FZ-G1 波斯尼亚, 保加利亚, 智利, 克包括平板电脑 蓄电池 模数转罗地亚, 捷克, 丹麦, 芬兰, 法换器输入笔 ( 带绳 ) 电池充电国, 德国, 希腊, 匈牙利, 印度器及电源线 尼西亚, 爱尔兰, 意大利, 荷兰, 挪威, 波兰, 葡萄牙, 罗马尼亚, 斯洛伐克, 南非, 西班牙, 瑞典, 泰国, 土耳其 FZ-G1-U USB 频谱分析仪控制器, 松下埃及, 肯尼亚, 马来西亚, 英国 ToughPad FZ-G1 包括平板电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 FZ-G1-B 仅巴西 USB 频谱分析仪控制巴西器, 松下 ToughPad FZ-G1 包括平板电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 FZ-G1-J 仅日本 USB 频谱分析仪控制日本器, 松下 ToughPad FZ-G1 包括平板电脑 蓄电池 模数转换器输入笔 ( 带绳 ) 电池充电器及电源线 松下 FZ-G1 附件 项目 FZ-VZSU84U 5 FZ-VZSU88U 5 FZ-BNDLG1BATCHRG CF-LNDDC120 TBCG1AONL-P TBCG1XSTP-P 说明锂电池, 标准容量长续航时间蓄电池, 用于松下 ToughPad FZ-G1 FZ-G1 单电单充套件, 单电单充 Lind 120W V 输入车载适配器, 用于 Toughbook 和 ToughPad 松下 Toughmate 一直在线机箱, 用于 FZ-G1 Infocase Toughmate X 带, 用于松下 FZ-G1 5 在中国 香港 澳门或蒙古不提供 22 cn.tek.com

23 便携式频谱分析仪 许可 SignalVu-PC 特定应用模块 应用许可 SVANL-SVPC SVAFL-SVPC SVTNL-SVPC SVTFL-SVPC SVMNL-SVPC SVMFL-SVPC SVPNL-SVPC SVPFL-SVPC SVONL-SVPC SVOFL-SVPC SV23NL-SVPC SV23FL-SVPC SV24NL-SVPC SV24FL-SVPC SV25NL-SVPC SV25FL-SVPC SV26NL-SVPC SV26FL-SVPC SV27NL-SVPC SV27FL-SVPC MAPNL-SVPC MAPFL-SVPC SV56NL-SVPC SV56FL-SVPC CONNL-SVPC CONFL-SVPC SV2CNL-SVPC SV2CFL-SVPC SV28NL-SVPC SV28FL-SVPC SV54NL-SVPC SV54FL-SVPC SV60NL-SVPC 说明 AM/FM/PM/ 直接音频分析 - 锁定节点许可 AM/FM/PM/ 直接音频分析 - 浮动许可稳定时间 ( 频率和相位 ) 测量 - 锁定节点许可稳定时间 ( 频率和相位 ) 测量 - 浮动许可通用调制分析, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 锁定节点许可通用调制分析, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO- 浮动许可脉冲分析, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 锁定节点许可脉冲分析, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO- 浮动许可通用 OFDM 分析 - 锁定节点许可通用 OFDM 分析 - 浮动许可 WLAN a/b/g/j/p 测量 - 锁定节点许可 WLAN a/b/g/j/p 测量 - 浮动许可 WLAN n 测量 ( 要求 SV23) - 锁定节点许可 WLAN n 测量 ( 要求 SV23) - 浮动许可 WLAN ac 测量, 适用于采集带宽 <= 40 MHz 的分析仪 ( 要求 SV23 和 SV24) 或 MDO - 锁定节点许可 WLAN ac 测量, 适用于采集带宽 <= 40 MHz 的分析仪 ( 要求 SV23 和 SV24) 或 MDO - 浮动许可 APCO P25 测量 - 锁定节点许可 APCO P25 测量 - 浮动许可蓝牙测量, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 锁定节点许可蓝牙测量, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 浮动许可地图绘制 - 锁定节点许可地图绘制 - 浮动许可播放记录的文件 - 锁定节点许可播放记录的文件 - 浮动许可 SignalVu-PC 实时链接到 MDO4000B 系列混合域示波器 - 锁定节点许可 SignalVu-PC 实时链接到 MDO4000B 系列混合域示波器 - 浮动许可 WLAN a/b/g/j/p/n/ac 和实时链接到 MDO4000B, 适用于采集带宽 <= 40 MHz 的分析仪 - 锁定节点许可 WLAN a/b/g/j/p/n/ac 和实时链接到 MDO4000B, 适用于采集带宽 <= 40 MHz 的分析仪 - 浮动许可 LTE 下连 RF 测量, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 锁定节点许可 LTE 下连 RF 测量, 适用于采集带宽 <= 40 MHz 的分析仪或 MDO - 浮动许可信号勘查和分类 - 锁定节点许可信号勘查和分类 - 浮动许可回波损耗, 故障测距, VSWR, 电缆损耗 - 锁定节点许可 (RSA600A/600A 上要求选项 04, 2016 年 6 月提供 ) SV60FL-SVPC 回波损耗, 故障测距, VSWR, 电缆损耗 - 浮动许可 (RSA600A/600A 上要求选项 04, 2016 年 6 月 ) EDUFL-SVPC SignalVu-PC 所有模块纯教育版 - 浮动许可 cn.tek.com 23

24 产品技术资料 推荐附件 泰克为 RSA600 系列提供各种适配器 衰减器 电缆 阻抗转换器 天线及其他附件 通用 RF 电缆 电缆,50 W, 40 英寸, N 型 ( 头式 ) 到 N 型 ( 头式 ) 电缆, 50 W, BNC ( 头式 ) 3 英尺 (91 cm) 适配器 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 BNC 型 ( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 孔式 ) 到 N 型 ( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 N 型 ( 孔式 ) 适配器, 同轴电缆, 50 W, N 型 ( 头式 ) 到 N 型 ( 头式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 N 7/16 型 ( 头式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 7/16 型 ( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 DIN 型 9.5( 头式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 DIN 型 9.5( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 SMA 型 ( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 SMA 型 ( 头式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 TNC 型 ( 孔式 ) 适配器, 同轴电缆, 50 W N 型 ( 头式 ) 到 TNC 型 ( 头式 ) 衰减器和 50/75 W 连接盘 连接盘, 50/75 W, 最小损耗, N 型 ( 头式 ) 50 W 到 BNC 型 ( 孔式 ) 75 W 连接盘, 50/75 W, 最小损耗, N 型 ( 头式 ) 50 W 到 BNC 型 ( 头式 ) 75 W 连接盘, 50/75 W, 最小损耗, N 型 ( 头式 ) 50 W 到 F 型 ( 头式 ) 75 W 连接盘, 50/75 W, 最小损耗, N 型 ( 头式 ) 50 W 到 F 型 ( 孔式 ) 75 W 连接盘, 50/75 W, 最小损耗, N 型 ( 头式 ) 50 W 到 N 型 ( 孔式 ) 75 W 衰减器, 固定, 10 db, 2 W, DC-8 GHz, N 型 ( 孔式 ) 到 N 型 ( 孔式 ) 衰减器, 固定, 10 db, 2 W, DC-8 GHz, N 型 ( 头式 ) 到 N 型 ( 孔式 ) 衰减器, 固定, 10 db, 2 W, DC-8 GHz, N 型 ( 头式 ) 到 N 型 ( 头式 ) 衰减器, 固定, 3 db, 2 W, DC-18 GHz, N 型 ( 头式 ) 到 N 型 ( 孔式 ) 衰减器, 固定, 40 db, 100 W, DC-3 GHz, N 型 ( 头式 ) 到 N 型 ( 孔式 ) 衰减器, 固定, 40 db, 50 W, DC-8.5 GHz, N 型 ( 头式 ) 到 N 型 ( 孔式 ) 滤波器 探头 演示电路板 预滤波器, 通用, 824 MHz ~ 2500 MHz, N 型 ( 孔式 ) 连接器 预滤波器, 通用, 2400 MHz ~ 6200 MHz, N 型 ( 孔式 ) 连接器 EMCO E/H 场探头 E/H 场探头, 经济型替代方案 由 Beehive 提供, 网址 : 24 cn.tek.com

25 便携式频谱分析仪 RSA-DKIT RSA 第 3 版演示电路板, 带 N-BNC 适配器 外壳 天线 说明书 GHz Bias-T, N 型 ( 头式 ) RF, N 型 ( 孔式 ) RF+DC, BNC( 孔式 ) 偏置, 1 W, 0.5 A, 2.5 MHz- 跟踪发生器附件在与 2016 年 6 月上市的选配的电缆和天线测量软件一起使用时, 泰克为 RSA600 跟踪发生器提供了各种校准套件和相位稳定的电缆 这一选项的详情请联系泰克 泰克提供各种附件, 简化您为实验室购买完整解决方案的工作 单端口测量校准套件 泰克为电缆和天线测量提供的相位稳定电缆 CALOSLNM 校准套件 3 合 1, 开路, 短路, 负载, DC ~ 6 GHz, N 型 ( 头式 ), 50 欧姆 CALOSLNF 校准套件 3 合 1, 开路, 短路, 负载, DC ~ 6 GHz, N 型 ( 孔式 ), 50 欧姆 CALOSLNF 校准套件 3 合 1, 开路, 短路, 负载, DC ~ 6 GHz, 7/16 DIN( 头式 ) CALOSL716F 校准套件 3 合 1, 开路, 短路, 负载, DC ~ 6 GHz, 7/16 DIN( 孔式 ) CALSOLT35F 校准套件 4 合 mm ( 孔式 ) 短路, 开路, 负载, 直传, 13 GHz CALSOLT35M 校准套件 4 合 mm ( 头式 ) 短路, 开路, 负载, 直传, 13 GHz CALSOLTNF 校准套件 4 合 1 N 型 ( 孔式 ) 短路, 开路, 负载, 直传, 9 GHz CALSOLTNM 校准套件 4 合 1 N 型 ( 头式 ) 短路, 开路, 负载, 直传, 9 GHz CALSOLT716F 校准套件 4 合 1 7/16 ( 孔式 ) 短路, 开路, 负载, 直传, 6 GHz CALSOLT716M 校准套件 4 合 1 7/16 ( 头式 ) 短路, 开路, 负载, 直传, 6 GHz 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 孔式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 孔式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 孔式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 孔式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 孔式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 头式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 头式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 7/16( 头式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 孔式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 孔式 ), 3.28 英尺或 1 米电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 孔式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 头式 ), 3.28 英尺或 1 米电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 头式 ), 5 英尺或 1.5 m cn.tek.com 25

26 产品技术资料 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 DIN 9.5( 头式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 孔式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 孔式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 孔式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 头式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 头式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 TNC( 头式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 孔式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 孔式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 头式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 N 型 ( 头式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 孔式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 孔式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 孔式 ), 5 英尺或 1.5 m 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 头式 ), 60 厘米 (23.6 英寸 ) 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 头式 ), 3.28 英尺或 1 米 电缆, 加固型, 相位稳定, N 型 ( 头式 ) 到 SMA 型 ( 头式 ), 5 英尺或 1.5 m 泰克经 SRI 质量体系注册机构注册通过 ISO 9001 和 ISO 认证 产品满足 IEEE Standard RS-232-C 及泰克标准代码和格式 评估的产品领域 : 电子测试测量仪器的规划 设计 / 开发和制造 26 cn.tek.com

产品技术资料 SignalVu-PC 基本版本中标配的测量和功能 通用信号分析说明频谱分析仪覆盖 100 Hz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记及功率 相对功率 综合功率 功率密度和 dbc/hz 功能 DPX 频谱 / 三维频实时显示频谱, 在高达 40 M

产品技术资料 SignalVu-PC 基本版本中标配的测量和功能 通用信号分析说明频谱分析仪覆盖 100 Hz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记及功率 相对功率 综合功率 功率密度和 dbc/hz 功能 DPX 频谱 / 三维频实时显示频谱, 在高达 40 M 便携式频谱分析仪 频谱分析仪 RSA600A 系列便携式频谱分析仪产品技术资料 应用 分析 RF 器件 子系统和系统 制造测试 移动现场操作 RSA600 系列节省了您的时间, 助您马到成功 RSA600A 系列 USB 频谱分析仪在携带异常方便的小型仪器中 提供了高带宽实验室频谱分析功能 主要特点和优点 9 khz ~ 3.0/7.5 GHz 频率范围, 满足各种分析需求 40 MHz 采集带宽,

More information

产品技术资料 通过无人值守的模板监测功能, 可以简便地查找意想不到的信号 可以在 DPX 频谱画面上创建一个模板, 在每次违规时采取相应操作, 包括停止采集 保存图片 保存采集或发送听得到的告警声 在下图中, 模板中红色的地方发生了模板违规, 保存了得到的屏幕图片 模板测试可以用于无人值守监测, 在

产品技术资料 通过无人值守的模板监测功能, 可以简便地查找意想不到的信号 可以在 DPX 频谱画面上创建一个模板, 在每次违规时采取相应操作, 包括停止采集 保存图片 保存采集或发送听得到的告警声 在下图中, 模板中红色的地方发生了模板违规, 保存了得到的屏幕图片 模板测试可以用于无人值守监测, 在 频谱分析仪 RSA600A 系列实验室频谱分析仪产品技术资料 RSA600 系列可提供必要的带宽和分析工具, 助您实现业务成功 RSA600 系列提供实时频谱分析和宽带宽分析功能, 可帮助工 程师解决检定 验证和生产设计中所遇到的各种问题 系统核心是基于 USB 的 RF 频谱分析仪, 它捕获 40 MHz 带宽, 实现 优异的保真度 由于具有 70 db 动态范围和高达 7.5 GHz 的 频率覆盖范围,

More information

产品技术资料 SignalVu-PC 基本版本中标配的测量和功能通用信号分析说明频谱分析仪覆盖 100 Hz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记及功率 相对功率 综合功率 功率密度和 dbc/hz 功能 DPX 频谱 / 三维频谱图实时显示频谱, 在高达 40

产品技术资料 SignalVu-PC 基本版本中标配的测量和功能通用信号分析说明频谱分析仪覆盖 100 Hz ~ 7.5 GHz 3 条轨迹 + 数学轨迹和三维频谱图轨迹 5 个标记及功率 相对功率 综合功率 功率密度和 dbc/hz 功能 DPX 频谱 / 三维频谱图实时显示频谱, 在高达 40 PA3000 功率分析仪 频谱分析仪 RSA500A 系列便携式频谱分析仪产品技术资料 标配应用编程接口, 开发自定义程序 多种附件, 包括平板电脑 校准套件 适配器和相位稳定 电缆, 为搜寻干扰和维护发射机提供完整的现场解决方案 应用 频谱管理 干扰搜寻 无线网络维护 安装和维修 RSA500 系列节省了您的时间, 助您马到成功 RSA500A 系列 USB 频谱分析仪在坚固耐用的电池供电的仪器中提供了高性能便携式频谱分析功能

More information

RSA306B USB 实时频谱分析仪 SignalVu-PC 基本版中标配测量免费的 SignalVu-PC 程序的基本功能远远不只是基本功能 下表概括了 SignalVu-PC 免费软件中标配的测量功能 通用信号分析频谱分析仪涵盖 1 khz ~ 6.2 GHz 三条轨迹外加数学轨迹和三维频谱图

RSA306B USB 实时频谱分析仪 SignalVu-PC 基本版中标配测量免费的 SignalVu-PC 程序的基本功能远远不只是基本功能 下表概括了 SignalVu-PC 免费软件中标配的测量功能 通用信号分析频谱分析仪涵盖 1 khz ~ 6.2 GHz 三条轨迹外加数学轨迹和三维频谱图 产品技术资料 频谱分析仪 RSA306B USB 实时频谱分析仪产品技术资料 应用学术 / 教育工厂或现场维护 安装和维修经济型设计和制造 RSA306B 使用电脑和泰克 SignalVu-PC TM RF 信号分析软件, 为 9 khz ~ 6.2 GHz 的信号提供实时频谱分析 流式捕获和深入信号分析功能, 而且价格低 携带方便, 特别适合现场 工厂或学术机构使用 主要性能指标 9 khz ~

More information

RSA500A系列便携式频谱分析仪产品技术资料

RSA500A系列便携式频谱分析仪产品技术资料 频谱分析仪 RSA500A 系列便携式频谱分析仪产品技术资料 RSA500 系列节省了您的时间, 助您马到成功 RSA500 系列提供了实时频谱分析功能, 解决频谱管理人员 干扰搜寻人员和网络维护人员面临的问题, 他们需要追踪查找 困难的干扰源, 维护 RF 网络, 保留工作记录 系统核心是基 于 USB 的 RF 频谱分析仪, 它捕获 40 MHz 带宽, 在严酷的环 境中实现优异的保真度 由于

More information

RSA306 USB实时频谱分析仪产品技术资料

RSA306 USB实时频谱分析仪产品技术资料 频谱分析仪 RSA306 USB 实时频谱分析仪产品技术资料 应用学术 / 教育工厂或现场维护 安装和维修经济型设计和制造搜寻干扰 RSA306: 全新的仪器类别 RSA306 使用电脑和泰克 SignalVu -PC RF 信号分析软件, 为 9 khz ~ 6.2 GHz 的信号提供实时频谱分析 流式捕获和深 入信号分析功能, 而且价格低 携带方便, 特别适合现场 工 厂或学术机构使用 主要性能指标

More information

产品技术资料 SignalVu-PC 基本版中标配测量 免费的 SignalVu-PC 程序的基本功能远远不只是基本功能 下表概括了 SignalVu-PC 免费软件中标配的测量功能 通用信号分析频谱分析仪涵盖 1 khz ~ 6.2 GHz 三条轨迹外加数学轨迹和三维频谱图轨迹 5 个标记, 包括

产品技术资料 SignalVu-PC 基本版中标配测量 免费的 SignalVu-PC 程序的基本功能远远不只是基本功能 下表概括了 SignalVu-PC 免费软件中标配的测量功能 通用信号分析频谱分析仪涵盖 1 khz ~ 6.2 GHz 三条轨迹外加数学轨迹和三维频谱图轨迹 5 个标记, 包括 RSA306B USB 实时频谱分析仪 频谱分析仪 RSA306B USB 实时频谱分析仪产品技术资料 流式捕捉技术, 记录长期事件 三年保修 应用 学术 / 教育 工厂或现场维护 安装和维修 经济型设计和制造 搜寻干扰 RSA306B 使用电脑和泰克 SignalVu-PC TM RF 信号分析软件, 为 9 khz ~ 6.2 GHz 的信号提供实时频谱分析 流式捕获和深入信号分析功能, 而且价格低

More information

RSA306B USB实时频谱分析仪

RSA306B USB实时频谱分析仪 频谱分析仪 RSA306B USB 实时频谱分析仪产品技术资料 应用学术 / 教育工厂或现场维护 安装和维修经济型设计和制造搜寻干扰 RSA306B: 一种全新的仪器类别 RSA306 使用您的电脑和泰克 SignalVu-PC RF 信号分析软 件, 为 9 khz ~ 6.2 GHz 信号提供实时频谱分析 流式捕获和 深入信号分析功能, 而且价格经济, 携带异常方便, 特别适合 现场 工厂或科研应用

More information

产品技术资料 使用多种工具捕获数据 通过一次捕获, 便能够进行多域测量, 而无需重新捕获 您可以使用示波器, 同时捕获多达 4 条通道 ;SignalVu-PC 软件可以独立分析每条通道, 通道可以是 RF I 和 Q 或差分输入 在进行 SignalVu-PC 分析前, 用户还可以对采集数据应用数

产品技术资料 使用多种工具捕获数据 通过一次捕获, 便能够进行多域测量, 而无需重新捕获 您可以使用示波器, 同时捕获多达 4 条通道 ;SignalVu-PC 软件可以独立分析每条通道, 通道可以是 RF I 和 Q 或差分输入 在进行 SignalVu-PC 分析前, 用户还可以对采集数据应用数 专为 PC 开发的矢量信号分析软件 SignalVu-PC-SVE 产品技术资料 分析 全面的时间相关多域显示把时域 频域 相位域和幅度域中的问题关联起来, 在调试时更快地了解原因和结果 功率测量和信号统计帮助您分析组件和系统的特点 : ACLR, 多载波 ACLR, 功率相对于时间的关系, CCDF 和 OBW/EBW WLAN 频谱和调制发射机测量, 基于 IEEE 802.11 a/b/ g/j/p/n/ac

More information

SignalVu-PC 矢量信号分析软件技术资料

SignalVu-PC 矢量信号分析软件技术资料 专为 PC 开发的矢量信号分析软件 SignalVu-PC 产品技术资料 分析全面的时间相关多域显示, 把时间 频率 相位和幅度关联起来, 在调试时更快地了解问题成因和影响功率测量和信号统计, 帮助您检定元件和系统 :ACLR, 多载波 ACLR, 功率对时间, CCDF 和 OBW/EBW WLAN 频谱和调制发射机测量, 基于 IEEE 802.11 a/b/ g/j/p/n/ac 标准 ( 选项

More information

RSA5000 频谱分析仪技术资料

RSA5000 频谱分析仪技术资料 频谱分析仪技术资料 RSA5000 系列 主要特点实时信号处理功能缩短查障时间, 提高设计信心每秒最多 3,125,000 个频谱以 100% 检测概率捕获 0.434 μs 的信号 Swept DPX 频谱在整个频率范围内实现前所未有的信号发现能力高级 DPX 包括扫频 DPX 无隙 DPX 三维频谱图和 DPX 零频宽及实时幅度 频率或相位触发功能放大问题 RSA5000 系列实时信号分析仪代替了传统仪器,

More information

产品技术资料 实现最佳实时和动态范围的性能选项和分析选项提供了附加值 高动态范围选项在最宽的采集带宽中提供了无可比拟的 80 dbc 无杂散分析功能 高性能 DPX 提供了行业领先的 100% 检测概率最短信号持续时间 AM/FM/PM 调制和音频测量 ( 选项 10) 相位噪声和抖动 ( 选项 1

产品技术资料 实现最佳实时和动态范围的性能选项和分析选项提供了附加值 高动态范围选项在最宽的采集带宽中提供了无可比拟的 80 dbc 无杂散分析功能 高性能 DPX 提供了行业领先的 100% 检测概率最短信号持续时间 AM/FM/PM 调制和音频测量 ( 选项 10) 相位噪声和抖动 ( 选项 1 频谱分析仪技术资料 RSA5000 系列 主要特点 实时信号处理功能缩短了找到问题的时间, 提高了设计信 心 高达 3,125,000 个频谱 / 秒, 可以以 100% 检测概率检测 0.434 μs 的信号 扫频 DPX 频谱在整个频率范围内实现了前所未有的信号发现能力 高级 DPX, 包括扫频 DPX 无隙 DPX 三维频谱图和 DPX 零频宽及实时幅度 频率或相位 RSA5000 系列实时信号分析仪代替了传统仪器,

More information

EDGE EDGE EDGE EDGE ( 2EW ) GPRS EDGE GSM ( ) BTS ( ) BSS ( ) GSM GPRS EDGE RF GSM GPRS RF GSM GPRS GSM GPRS GPRS (QoS) QoS ( ) EDGE GSM/GPRS

EDGE EDGE EDGE EDGE ( 2EW ) GPRS EDGE GSM ( ) BTS ( ) BSS ( ) GSM GPRS EDGE RF GSM GPRS RF GSM GPRS GSM GPRS GPRS (QoS) QoS ( ) EDGE GSM/GPRS EDGE EDGE EDGE( ) NetTek(r) (3G) EDGE GSM GPRS EDGE EDGE GSM ( NetTek RF ) GPRS ( ) GPRS (EGPRS) EDGE EDGE EDGE EDGE ( 2EW- 17610-0) GPRS EDGE GSM ( ) BTS ( ) BSS ( ) GSM GPRS EDGE RF GSM GPRS RF GSM GPRS

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

37C

37C 频谱分析仪 RSA3000B 系列产品资料 分析全面的时间相关失量频谱分析 多域显示, 在时间 频率 相位和幅度上把问题关联起来, 在调试时更迅速地了解问题成因和影响功率测量和信号统计, 帮助检定元器件和系统 :ACLR 电源与时间 CCDF PDF 和实时频谱发射测量, 使用用户定义的频谱发射模板脉冲测量, 包括脉宽 占空比和脉冲到脉冲相位 RSAVu 软件, 提供离线分析功能模拟解调分析, 包括基带

More information

9208N 5G mmtc 移动物联网综合测试系统 100kHz~6GHz 产品概述 9208N 5G mmtc 移动物联网综合测试系统是一套 5G 移动物联综合测试设备, 包含移动物联信号模拟和移动物联信号分析两部分, 主要是用来解决 5G 物联设备研发测试难题的 这套系统可以提供目前行业急需的

9208N 5G mmtc 移动物联网综合测试系统 100kHz~6GHz 产品概述 9208N 5G mmtc 移动物联网综合测试系统是一套 5G 移动物联综合测试设备, 包含移动物联信号模拟和移动物联信号分析两部分, 主要是用来解决 5G 物联设备研发测试难题的 这套系统可以提供目前行业急需的 9208N 5G mmtc 移动物联网综合测试系统 100kHz~6GHz 产品概述 9208N 5G mmtc 移动物联网综合测试系统是一套 5G 移动物联综合测试设备, 包含移动物联信号模拟和移动物联信号分析两部分, 主要是用来解决 5G 物联设备研发测试难题的 这套系统可以提供目前行业急需的 NB-IOT 移动物联信号模拟功能, 支持用户的仿真数据导入, 提供各种同步方式, 验证物联设备的接收性能

More information

TSG4100A RF矢量信号发生器产品技术资料

TSG4100A RF矢量信号发生器产品技术资料 RF 矢量信号发生器 TSG4100A 系列 TSG4100A 系列 RF 矢量信号发生器以入门级 RF 信号发生器的价格, 提供了中档性能和高达 200 MHz 的调制带宽 它们 采用新技术提供无杂散输出, 实现了低相位噪声 (1 GHz 载波 在 20 khz 偏置时为 -113 dbc/hz) 及超高频率分辨率 ( 在任意 频率时为 1 μhz) TSG4100A 系列标配模拟调制功能 方 便地现场升级软件可以简便地从模拟调制功能转向高级矢量调制和数字调制功能,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

SP6010产品手册

SP6010产品手册 技术指标说明书 SP6010 终端综合测试仪 产品概述 SP6010 是北京星河亮点通信软件有限责任公司自主研发的终端综合测试仪 该仪表支持 TD-SCDMA 终端射频一致性测试 HSDPA 性能测试 HSUPA 测试功能 音频测试支持功能 ; 支持 GSM GPRS / EDGE 射频指标测试 CMMB 测试等, 同时具备非信令校准功能 SP6010 具有 GPIB 远程控制功能, 同时具有丰富的外部接口,

More information

Streamline Series P937XA USB Vector Network Analyzer 2-port, Up to 26.5 GHz - Data Sheet

Streamline Series P937XA USB Vector Network Analyzer 2-port, Up to 26.5 GHz - Data Sheet N9322C 基础型频谱分析仪 定义与条件 深入了解此产品 参见这些常用文档 : 手册 (5991-1166CHCN) 产品特性介绍 配置指南 (5991-1168CHCN) 描述订货信息了解软件相关文档的最新版本或更多信息, 请访问网站 : www.keysight.com/find/ n9322c 技术指标 详细描述产品保修所涉及的参数性能, 除非另有说明, 适用于 5 到 45 C 的温度范围

More information

Willtek 9102 Handheld Spectrum Analyzer

Willtek 9102 Handheld Spectrum Analyzer 9102 boosting wireless efficiency Willtek 9102 9102 EMI 9102 (tracking generator option), 9160 VSWR/DTF 9130 VSWR/DTF Reflection Measurement Option 9102 4GHz 9102-9102 EN 55022, IEC 61000-4 EN 60068 9102

More information

Agilent N9000A CXA X CXA CXA CXA You Can Upgrade!

Agilent N9000A CXA X CXA CXA CXA You Can Upgrade! Agilent N9000A CXA X CXA CXA CXA You Can Upgrade! CXA CXA CXA CXA CXA N9000-90016 CXA 5990-4327EN CXA CXA Agilent N9000AEP www.agilent.com/find/express_cxa 1. 9 khz 3.0 GHz 9 khz 7.5 GHz N9000A-503 N9000A-507

More information

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器

由 于 该 采 用 了 精 密 的 RF 设 计, 因 而 还 具 有 非 常 出 色 的 宽 带 噪 声 指 标 ( 典 型 值 < 160 dbc (1 Hz) 1 GHz 载 波 频 率 ), 而 要 达 到 同 样 大 小 的 值, 传 统 发 生 器 一 般 只 有 在 频 率 合 成 器 44434/5 图 1 R&S SMA100A 拥 有 出 色 的 性 能 紧 凑 的 设 计 和 优 惠 的 价 格 R&S SMA100A 几 乎 可 满 足 任 何 测 试 需 求 的 模 拟 信 号 质 量 速 度 灵 活 性 这 些 都 是 当 今 衡 量 的 标 准 R&S SMA100A 的 设 计 完 美, 可 充 分 满 足 这 些 标 准, 因 此 它 是 一 款 质 量 优 异

More information

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP 2 2

MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP   2 2 FH-RFSS321-I (TD-SCDMA ) TD-SCDMA / MS-DOS Windows Windows for Workgroups Windows95/98/NT4/2000/ME/XP http://www.beijing-wri.com 2 2 1...7 1.1...7 1.2...7 1.3...7 1.4...8 1.5...9 1.6...10 1.7...10 1.8

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

CellAdvisor™ JD748B/JD788B 信号分析仪

CellAdvisor™ JD748B/JD788B 信号分析仪 CellAdvisor JD748B/JD788B 信 号 分 析 仪 简 介 JD748B/JD788B 信 号 分 析 仪 是 安 装 和 维 护 小 区 基 站 的 最 佳 测 试 工 具 它 包 括 用 于 小 区 基 站 现 场 测 试 的 全 部 功 能 特 征 和 能 力, 适 用 于 2G 至 4G 无 线 技 术 分 析 仪 能 够 对 无 线 信 号 进 行 符 合 标 准 的

More information

FPS 信号和频谱分析仪简介 FPS 是一款非常快速和紧凑的信号和频 谱分析仪, 面向以性能为导向的用户 在 生产系统和监测系统中, 仅占用 2HU 机架空 间 - 与传统仪器相比缩小了一半 FPS 的优势在于高测量速度,160 MHz 信号分析带宽, 以及面向模拟调制方式和无线 / 宽带通信标准的

FPS 信号和频谱分析仪简介 FPS 是一款非常快速和紧凑的信号和频 谱分析仪, 面向以性能为导向的用户 在 生产系统和监测系统中, 仅占用 2HU 机架空 间 - 与传统仪器相比缩小了一半 FPS 的优势在于高测量速度,160 MHz 信号分析带宽, 以及面向模拟调制方式和无线 / 宽带通信标准的 R&S FPS 信号和频谱分析仪紧凑 快速, 面向自动测试 测试与测量 产品手册 04.00 FPS 信号和频谱分析仪简介 FPS 是一款非常快速和紧凑的信号和频 谱分析仪, 面向以性能为导向的用户 在 生产系统和监测系统中, 仅占用 2HU 机架空 间 - 与传统仪器相比缩小了一半 FPS 的优势在于高测量速度,160 MHz 信号分析带宽, 以及面向模拟调制方式和无线 / 宽带通信标准的大量分析套件

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - SVANTEK产品资料.doc

Microsoft Word - SVANTEK产品资料.doc 噪声分析仪 :SVAN953 SVAN955 振动分析仪 :SVAN954 SVAN956 振动噪声分析仪 :SVAN957 SVAN959 4 通道噪声振动分析仪 :SVAN958 声压标定器 :SV30A SV31 苏州声和振动科技有限公司 Suzhou SV Technology Co.,LTD 地址 : 江苏省苏州市东吴北路 31 号 A 幢邮编 :215128 电话 :0512-67071025

More information

Curveball

Curveball 无线通信技术的应用 测试及面临的挑战 无线通信系统测量的新方法 混合域信号分析 智能终端的耗电分析 无线通信系统测量的新选择 混合域信号分析仪 MDO4000B 高性价比频谱仪 RSA306 矢量信号源 TSG4000 电源分析仪 2280S,SMU2450 1 无线通信技术的发展 应用 测试及面临的挑战 无线测试技术 : 与芯片技术的技术含量和创新性是处于与时俱进的环节 产品设计的验证 智能化 高效率

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Microsoft PowerPoint - RF基础与测量2.ppt

Microsoft PowerPoint - RF基础与测量2.ppt 射频基础与测量 R&S 中国培训中心 2005 www.rohde-schwarz.com.cn Rohde & Schwarz 中国培训中心 RF 基础与测量 2005 / 付国映 安毅 / 1 主要内容 一 基本理论 o RF 基本概念 o RF 系统的构成 o 调制与解调 二 RF 测量 o RF 信号的测量 o RF 系统的测量 三 测试仪器 Rohde & Schwarz 中国培训中心 RF

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

<4D6963726F736F667420576F7264202D20B9E3D6DDB5E7D0C532303132A1A232303133C4EAB9E6BBAEBBF9D5BEB9A4B3CCBBB7BEB3D3B0CFECB1A8B8E6CAE9B9ABCABDB1BE2E646F63>

<4D6963726F736F667420576F7264202D20B9E3D6DDB5E7D0C532303132A1A232303133C4EAB9E6BBAEBBF9D5BEB9A4B3CCBBB7BEB3D3B0CFECB1A8B8E6CAE9B9ABCABDB1BE2E646F63> 内 部 资 料 注 意 保 密 文 件 编 号 :HP13E01 中 国 电 信 股 份 有 限 公 司 广 东 分 公 司 2012 2013 年 基 站 工 程 环 境 影 响 报 告 书 广 州 册 ( 报 批 稿 ) 中 国 电 信 股 份 有 限 公 司 广 东 分 公 司 二 一 四 年 六 月 中 国 电 信 股 份 有 限 公 司 广 东 分 公 司 2012 2013 年 基 站

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1004BA 设备制

无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1004BA 设备制 无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A20180150349 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1004BA 设备制造商 (Manufacturer): 加一万摩声学科技 ( 深圳 ) 有限公司 上海无委无线电检测实验室有限公司

More information

TD-LTE 发射机系统设计分析

TD-LTE 发射机系统设计分析 TD-LTE --- China Telecom system 4G 3G TD-LTE TD-LTE TI TD-LTE TD-LTE ( 5bit/S/Hz; 2.5bit/S/Hz) ( :100Mbps :50Mbps) (100ms 10ms ) 1.4MHz;3MHz;5MHz,10MHz,15MHz,20MHz 2011 2 7 TD-LTE (GTI) 48 27 38 TD-LTE

More information

DG4000数据手册2012年第1期全-CN

DG4000数据手册2012年第1期全-CN 第一期 DG4000 系列 最高输出频率 :160 MHz, 100 MHz, 60 MHz 500MSa/s 采样率, 14 bits 垂直分辨率标配等性能双通道 2ppm 高频率稳定度低相噪至 -115dBc/Hz 丰富的模拟调制和数字调制功能内置 150 种任意波形内置 7 位 / 秒, 200MHz 带宽的频率计标配多至 16 次的谐波发生器功能功能强大的上位机软件标配接口 : USB Host

More information

<4D F736F F D20D6D0B9FAB1EAD7BCB5E7D4B4B2E5CDB72E646F63>

<4D F736F F D20D6D0B9FAB1EAD7BCB5E7D4B4B2E5CDB72E646F63> 中国标准电源插头 227IEC42(RVB)2 0.5mm² 6A250V 227IEC42(RVB)2 0.75mm² 6A250V 227IEC52(RVV)2 0.5mm² 6A250V 227IEC52(RVV)2 0.75mm² 6A250V 227IEC52(RVV) 2 0.75mm² 6A250V 227IEC53(RVV) 2 0.75mm² 6A250V 227IEC53(RVV)

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

MDO应用文章

MDO应用文章 使用 MDO4000 和 RSAVu 测试无线设计 本文将讨论怎样使用 MDO4000 和 RSAVu, 帮助设计工程师调试无线设计, 如 WLAN 802.11a/ b/g 和 GSM 应用 引言 在把 RF 技术整合到设计中时, 嵌入式设计人员发现有许多新问题需要解决 :( 系统中的蓝牙芯片是否以预期方式发送 )?802.11 芯片组在运行过程中是否正确编程? 怎样追踪发射机与接收机之间的交互过程?

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G

3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3/8/005 3G 3 3/8/005 3G 4 3/8/005 3G 5 3/8/005 3G 6 3/8/005 3G 7 3/8/005 3G 8 3/8/005 3G 9 3/8/005 3G 0 3/8/005 3G 3/8/005 3G a(i) f f f f A B A B a(ii) f f f 3 f f f 3 A B C A B

More information

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2 200MHz / & NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 =1048576(IEC 60027-2/ IEEE 1541-2002 P.7 DC / FMFSKPMPSKAMDCPWM DC 2 222 2 DC 2DC P.8 2 DC100MHz 200MHz/ 0.01Hz200MHz

More information

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322 Keysight Technologies 53200A / / Keysight 53200 RF 12 20 ps 350 MHz 6 15 GHz 12 20 ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 53220A, 53210A, 53220A,

More information

函数信号发生器参数表.doc

函数信号发生器参数表.doc 本技术指标为速准科技的相关参数, 更详细的参数请联系相关技术人员联系 网址 :www.quangtasylum.com.cn;tel:0755-23942983 QQ:66702122 MOB:13714678135 QA203D/QA206D/QA212D 函数信号发生器相关技术指标 ( 除非另行说明, 所有技术指标都是在使用 50Ω 电阻负载的情况下实现的 ) 仪器特征 型号 QA203D/QA206D/QA212D

More information

无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1001BT 设备制

无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1001BT 设备制 无线电发射设备 Radio Transmission Equipment 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A20180150282 设备名称 (Product Name): 蓝牙设备设备型号 (Product Model):E1001BT 设备制造商 (Manufacturer): 加一万摩声学科技 ( 深圳 ) 有限公司 上海无委无线电检测实验室有限公司

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

DSA700 系列频谱分析仪 常用功能键 高级测量键 光标测量键 USB 接口 射频输入 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 全数字中频带给我们什么? 1. 可以测

DSA700 系列频谱分析仪 常用功能键 高级测量键 光标测量键 USB 接口 射频输入 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 设备尺寸 : 宽 高 深 =361.6 mm x mm x 128 mm 全数字中频带给我们什么? 1. 可以测 DSA700 系列频谱分析仪 采用全数字中频技术频率范围从 100 khz 到最高达 1 GHz 显示平均噪声电平 DANL 最低达 -130 dbm( 典型值 ) 相位噪声典型值达

More information

实验内容 : 熟悉 5253C 2G/3G/4G 通信模拟器和 5252A 基站综合测试仪操作方法和步骤 ; 配置 MSK/FSK 调制信号, 记录解调结果 ; 调制信号误差矢量幅度的测量与分析 实验二 : 通用 PSK 数字调制解调实验 实验目的 : 学生通过对仪表的操作熟悉 PSK 调制信号的配

实验内容 : 熟悉 5253C 2G/3G/4G 通信模拟器和 5252A 基站综合测试仪操作方法和步骤 ; 配置 MSK/FSK 调制信号, 记录解调结果 ; 调制信号误差矢量幅度的测量与分析 实验二 : 通用 PSK 数字调制解调实验 实验目的 : 学生通过对仪表的操作熟悉 PSK 调制信号的配 移动通信测试系统. 9208B 移动通信实验教学系统 产品概述 随着现代科学技术的发展, 无线移动通信已成为国防现代化 国民经济建设以及人们日常生活中必不可少的一部分, 应用极为广泛 在航空航天技术领域中, 通信是信息传递 控制指令 测试数据等各种重要信息的传输工具 随着卫星通信 移动通信 个人通信等技术的飞速发展, 培养具有通讯理论和实际知识的人才也成为学校和社会共同的迫切需要 然而, 仅仅靠学习理论来培养人才明显是不够的

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt IQnav GPS 产品测试方案 6/19/2008 目 录 传统 GPS 测试的挑战 LitePoint 的 IQnav 解决方案 总结 6/19/2008 2 May 8 th, 2008 GPS 产品全球蔓延 Nokia 称,2008 年他们将带来 35,000,000 台 GPS 电话 ( 大概相当于 2007 年整个 GPS 市场的数量 ) Nokia CEO Olli-Pekka Kallasvuo

More information

CHCN.p65

CHCN.p65 Agilent 33210A 10 MHz 函数 / 任意发生器 技术资料 10 MHz 正弦波和方波 脉冲, 斜波, 三角波, 噪声和直流 可选 14-bit,50 MSa/s,8 K 点任意 AM,FM,PWM 调制类型线性和对数扫描及突波工作模式幅度范围为 10 mvpp 至 10 Vpp 图形化显示界面易于信号设置和验证 USB GPIB 和 LAN 接口完全符合 LXI C 类规范 价格合理,

More information

Launch Strategies

Launch Strategies 跨域分析 解决数字射频系统疑难杂症的创新测试手段 泰克分销 SPM 孙勇 13501124062 Yong.sun@tek.com 内容 跨域分析概述 信号分析概述 射频信号多域分析 混合信号时域分析 混合信号与射频信号跨域分析 泰克公司开创跨域分析新时代 MDO4000 混合域示波器 MDO4000 的特色 MDO4000 在数字射频领域中的应用 跨域分析概述 信号分析概述 任何信号都可以从时域或频域来表达

More information

untitled

untitled SIMEAS Q80 SR 10.2.1 V1.0 Answers for energy. / SIMEAS Q80 3 3 4 8 10 11 12 14 15 16 20 24 25 30 31 32 CE 33 SIMEAS Q80 SR10.2.1 V1.0 2 / SIMEAS Q80 IEC 61000-4-15, IEC 61000-4-7 IEC 61000-4-30 (A) SIMEAS

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

用户手册 2015 年 12 月 RIGOL TECHNOLOGIES, INC. 保证和声明 版权 2014 北京普源精电科技有限公司 商标信息 RIGOL 是北京普源精电科技有限公司的注册商标 文档编号 UMD07001-1110 声明 本公司产品受中国及其它国家和地区的专利 ( 包括已取得的和正在申请的专利 ) 保护 本公司保留改变规格及价格的权利 本手册提供的信息取代以往出版的所有资料 本手册提供的信息如有变更,

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

GS01W02E01-01ZH_007_CH.indd

GS01W02E01-01ZH_007_CH.indd > > General Specifications YFGW510 GS 01W02E01-01ZH GS (ISA) ISA100.11aISA100.11a (YFGW410) / (YFGW610) Duocast (ISA100.11a) ISA100.11aDuocastYFGW510 LAN (IEEE802.11a/b/g) LAN (2.4 GHz 5

More information

技术指标 技术指标适用于以下条件 : 仪器处于校准周期内, 在 0 至 50 温度环境下存放至少两小时, 并且预热 40 分钟 对于本手册中的数据, 若无另行说明, 均为包含测量不确定度的技术指标 典型值 : 表示在室温 ( 约 25 ) 条件下,80% 的测试结果均可达到的典型性能 该数据并非保证

技术指标 技术指标适用于以下条件 : 仪器处于校准周期内, 在 0 至 50 温度环境下存放至少两小时, 并且预热 40 分钟 对于本手册中的数据, 若无另行说明, 均为包含测量不确定度的技术指标 典型值 : 表示在室温 ( 约 25 ) 条件下,80% 的测试结果均可达到的典型性能 该数据并非保证 DSG800 系列射频信号源 高性价比经济型射频信号源相位噪声典型值高达 -105 dbc/hz 最大输出功率典型值可达 +20 dbm 高级别的幅度精度, 典型值可达 0.5 db 优异的信号稳定度 设计保证了其可靠耐用性使用无磨损电子式衰减器特别设计的保护功能数字 ALC 电路结构简单 功能堪比高级别射频信号源灵活的频率和幅度扫描功能完备的 AM/FM/ØM 模拟调制功能标配 LF 输出功能强大的脉冲调制功能系统平坦度校正功能操作便捷易用

More information

电话 : 传真 : 第 1 页共 45 页 型号核准检验报告目录 1 本报告注意事项 测试单位信息 申请单位 ( 设备制造商 ) 信息 代理申请单位信息 型号核准步骤及时间

电话 : 传真 : 第 1 页共 45 页 型号核准检验报告目录 1 本报告注意事项 测试单位信息 申请单位 ( 设备制造商 ) 信息 代理申请单位信息 型号核准步骤及时间 无线电发射设备型号核准 Radio Transmission Equipment Type Approval 检验报告 Test Report 报告编号 (Report No.):SRTL/BG-A20160160138 设备名称 (Product Name):2.4GHz 无线局域网模块设备型号 (Product Model):EMW3082A 设备制造商 (Manufacturer): 上海庆科信息技术有限公司

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

<4D6963726F736F667420576F7264202D20CEDECFDFCDA8D1B6B5C4CFDFD0D4BBAFBCBCCAF52E646F63>

<4D6963726F736F667420576F7264202D20CEDECFDFCDA8D1B6B5C4CFDFD0D4BBAFBCBCCAF52E646F63> 无 线 通 讯 的 线 性 化 技 术 Linearity Technology in Wireless Communication 摘 要 : 本 文 介 绍 了 线 性 化 技 术 在 通 信 基 站 及 手 机 等 领 域 中 的 应 用, 重 点 介 绍 在 CDMA, W-CDMA 等 通 信 基 站 中 的 应 用 在 各 类 应 用 中 应 该 按 照 各 自 系 统 的 特 点 分

More information

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP

DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP DreamStation CPAP DreamStation CPAP Pro DreamStation Auto CPAP ... 1... 1... 1... 2... 2... 3... 3 Philips Respironics...3... 4 /...5... 6...6... 7... 8... 8...9... 9...10 Bluetooth...14...15...15...15...16...20...22...23...24...24...24...24...25...26...27

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

本 土 天 蝗 傳 奇 - 台 灣 大 蝗 生 活 史 及 生 態 習 性 的 研 究 摘 要 台 灣 大 蝗 在 交 配 時 警 覺 性 降 低, 蝗 會 背 著 蝗 跳 到 遠 處, 但 不 會 飛, 肚 子 餓 時 會 進 食, 但 蝗 不 會 交 配 後 蝗 會 選 擇 土 質 堅 實 植

本 土 天 蝗 傳 奇 - 台 灣 大 蝗 生 活 史 及 生 態 習 性 的 研 究 摘 要 台 灣 大 蝗 在 交 配 時 警 覺 性 降 低, 蝗 會 背 著 蝗 跳 到 遠 處, 但 不 會 飛, 肚 子 餓 時 會 進 食, 但 蝗 不 會 交 配 後 蝗 會 選 擇 土 質 堅 實 植 中 華 民 國 第 四 十 八 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 中 組 生 物 及 地 球 科 學 科 第 三 名 031708 本 土 天 蝗 傳 奇 ~ 台 灣 大 蝗 蟲 生 活 史 及 生 態 習 性 的 研 究 學 校 名 稱 : 臺 北 市 立 麗 山 國 民 中 學 作 者 : 指 導 老 師 : 國 一 陳 夢 晴 竇 俊 明 國 一 林 宜 潔 國 一

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

PowerPoint Presentation

PowerPoint Presentation 泰克最新宽带测试解决方案 宽带应用与日俱增 无线 雷达 卫通 激光 宽带应用 相干光 量子 HSS 20-SEP-18 泰克最新宽带测试解决方案 2 宽带测试有哪些挑战? 当前宽带测试对测试仪表提出了哪些更高要求? 挑战一 : 高载频 高带宽信号产生与分析, 以验证系统性能 挑战三 : 外部电磁环境日益复杂, 这对于设备正常工作的影响不容忽视 如何使用测试仪表模拟复杂环境? 挑战二 : 波形日趋复杂化,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

MTP200A 中文.docx

MTP200A 中文.docx MTP200A Wi-Fi / BT Tester 产品特点 Signal Generator/Signal Analyzer 信号发生器 / 信号分析仪 Wi-Fi 可选 BT_LE 可选 Waveform Creator Wi-Fi 各种波形 CW Mode 连续波 Color LCD 彩色显示屏 PC 可电脑控制 USB Port(USB To Serial) 和 GPIB 两种控制接口 USB

More information

Microsoft Word - SDL600-zh-CN_V1.5.doc

Microsoft Word - SDL600-zh-CN_V1.5.doc 用 户 指 南 声 级 计 SD 卡 实 时 数 据 记 录 仪 型 号 SDL600 A 简 介 恭 喜 您 选 购 Extech SDL600 型 声 级 计 该 仪 表 可 显 示 并 存 储 声 压 级 范 围 在 30 至 130 db 之 间 的 读 数 SDL600 型 声 级 计 符 合 ANSI 和 IEC 61672 2 级 标 准, 具 有 A 和 C 频 率 加 权 以 及

More information

CHCN.indd

CHCN.indd N9030A PXA X 系列信号分析仪 技术资料 C 类认证 适用的频率范围 N9030A-503 N9030A-508 N9030A-513 N9030A-526 N9030A-543 N9030A-544 N9030A-550 3 Hz 至 3.6 GHz 3 Hz 至 8.4 GHz 3 Hz 至 13.6 GHz 3 Hz 至 26.5 GHz 3 Hz 至 43 GHz 3 Hz 至 44

More information

特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下

特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下 数据手册-2016.11 数据手册 -2017.05 SDG1000X系列 函数/任意波形发生器 特性与优点 双通道, 最大输出频率 60 MHz, 最大输出幅度 20 Vpp SDG1062X SDG1032X SDG1012X 150 MSa/s 采样率, 14-bit 垂直分辨率,16 kpts 波形长度创新的 EasyPulse 技术, 能够输出低抖动的脉冲, 可以做到脉宽 上升 / 下降沿精细可调,

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

* r p . 4 6 12 3 5 7 8 9bk bm btbsbrbqbp bo bn bl [ ] [ ] [ ] [ ] [SET] 1 2 3 4 5 6 7. cmcl ck 8 9 0 bk bl bm bn bo 1 2 1 2+ - bp bq 8 2 4 6 br r bs p bt ck cl cm 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

99年度提案審查

99年度提案審查 1/21 2 97 98 99 00 01 02 03 04 05 06 07 3 年度 年 類 例 年 行 量 年 行 量 4 Source NCC 5 行 WAP, GPRS, PHS, 3G (Source: NCC) 6 Source: Allot Mobile Trends 7 ARPU from $48 to $24 by 2011 HSPA Modules from $70 to $35

More information

Microsoft PowerPoint - Lecture14

Microsoft PowerPoint - Lecture14 CMOS 射频集成电路设计 2007 年 12 月 21 日唐长文助理研究员 zwtang@fudan.edu.cn http://rfic.fudan.edu.cn/courses.htm 复旦大学专用集成电路与系统国家重点实验室 调制与解调 多路接入技术和标准协议 调制和解调 多路接入技术 标准协议 -2- 基带信号和带通信号 基带信号 : 中心频率为零的信号 带通信号 : 中心频率不为零的信号

More information

GSM/GPRS/EDGE

GSM/GPRS/EDGE GSM/GPRS/EDGE 研发测试建议书 版本 :V2.0 时间 :2004.6.18 1 目录 前言...3 一 GSM 测试规范与测试方法...4 ( 一 ) 发射机峰值载波功率测量和脉冲定时...4 ( 二 ) 发射机载波功率与时间关系测量...4 ( 三 ) 发射机 EVM 相位误差与频率容限...4 ( 四 ) 发射机调制频谱 / 切换瞬态频谱...4 ( 五 ) 发射机传导杂散辐射...4

More information

前端系统 9900 系列 RF 信号管理器有源产品 说明 混合和分配网络已越来越复杂, 单靠无源部件已难以完成隔离和放大的需求, 以支持当前新颖的 HFC 网络设计来开展定向和窄带服务 9900 系列 RF 信号管理器家族的新成员提供了一系列用于特殊目的的放大器以满足当前的网络要求 RF 信号管理器

前端系统 9900 系列 RF 信号管理器有源产品 说明 混合和分配网络已越来越复杂, 单靠无源部件已难以完成隔离和放大的需求, 以支持当前新颖的 HFC 网络设计来开展定向和窄带服务 9900 系列 RF 信号管理器家族的新成员提供了一系列用于特殊目的的放大器以满足当前的网络要求 RF 信号管理器 前端系统 说明 混合和分配网络已越来越复杂, 单靠无源部件已难以完成隔离和放大的需求, 以支持当前新颖的 HFC 网络设计来开展定向和窄带服务 9900 系列 RF 信号管理器家族的新成员提供了一系列用于特殊目的的放大器以满足当前的网络要求 RF 信号管理器有源产品系列价格低 质量高 能满足 HFC 网络设计中隔离与放大的双重要求 该有源产品系列可方便地安装在 RF 信号管理器的机框中, 仍具备了安装密度高和电缆管理方便等优点

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd Keysight 81150A 和 81160A 脉冲 函数 任意波形和噪声发生器 单机即可实现精确的脉冲 函数 任意波形和噪声发生功能 应用指南, 版本 1.3 SATA IQ 简介 物理层 数字显示 存储器 计算 I/O 企业 通信 航空航天 / 视频 消费电子 芯片到芯片 存储 有线 国防 计算 背板 LAN 无线 卫星 / 通信 器件仿真 精密时钟源 噪声抗干扰测试 仿真客户设计 直流无刷电机

More information

了解您的频谱无论是国防通信, 无线服务供应商 (WSP) 还是涉及到频谱管理等应用领域, 您都应避免通信中断 N9340B 为您的工作提供可靠和精确的频谱分析 优化您的测试时间和精度当您进行测试时, 需通过快速的数据捕获帮助定位和识别那些无规律的瞬态干扰信号 这就是为什么每一台 N9340B 频谱分

了解您的频谱无论是国防通信, 无线服务供应商 (WSP) 还是涉及到频谱管理等应用领域, 您都应避免通信中断 N9340B 为您的工作提供可靠和精确的频谱分析 优化您的测试时间和精度当您进行测试时, 需通过快速的数据捕获帮助定位和识别那些无规律的瞬态干扰信号 这就是为什么每一台 N9340B 频谱分 Agilent N9340B 技术资料 安捷伦频谱分析仪让速度和性能尽在现场工程师的掌握之中 了解您的频谱无论是国防通信, 无线服务供应商 (WSP) 还是涉及到频谱管理等应用领域, 您都应避免通信中断 N9340B 为您的工作提供可靠和精确的频谱分析 优化您的测试时间和精度当您进行测试时, 需通过快速的数据捕获帮助定位和识别那些无规律的瞬态干扰信号 这就是为什么每一台 N9340B 频谱分析仪都有极快扫描时间的原因

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 ( 支持

More information

Microsoft Word - 通信产业半月刊第182期.doc

Microsoft Word - 通信产业半月刊第182期.doc ( 总 ) 博 思 远 略 行 业 电 子 期 刊 系 列 北 京 博 思 远 略 咨 询 有 限 公 司 期 刊 事 业 部 2010.12.01-2010.12.15 本 期 目 录 深 度 分 析... 4 u 中 国 手 机 阅 读 / 移 动 阅 读 市 场 产 业 链 深 度 分 析... 4 u 中 国 移 动 布 局 手 机 浏 览 器 迫 在 眉 睫... 7 u 电 信 业 缺

More information

温 家 宝 : 2012 年 推 动 三 网 融 合 取 得 实 质 性 进 展 P01 李 长 春 参 观 中 国 国 际 广 播 电 视 信 息 网 络 展 P08 两 会 评 论 : 三 网 融 合 让 中 国 更 智 慧 P06 读 者 服 务 信 箱 :jingcai@cbc.cn News Headlines 新闻头条 温家宝 : 2012 年推动三网融合取得 实质性进展 3 月 5

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information