1

Size: px
Start display at page:

Download "1"

Transcription

1 FT-1500A/16 高性能通用微处理器数据手册 2016 年 7 月 版本号 2.5 技术支持邮箱 :support@phytium.com.cn

2

3 目录 目录 技术指标 功能描述 外围接口电路 DDR 接口 PCIE 接口 寄存器说明 基地址 寄存器列表 GMAC 接口 LPC 接口 寄存器说明 基地址 寄存器列表 SPI 接口 寄存器说明 基地址 寄存器列表 UART 接口 寄存器说明 基地址 寄存器列表 I2C 接口 寄存器说明 基地址 寄存器列表 GPIO 接口 GPIO 复用说明 GPIO 复用寄存器地址 GPIO 复用寄存器描述 GPIO 寄存器说明 基地址 寄存器列表 上电时序 电气特性 极限工作条件 典型工作参数 封装数据 封装尺寸 装焊温度曲线 无铅焊接温度曲线中各温区的作用... 41

4 6.2 有铅焊接温度曲线中各温区的作用 引脚描述 通用 IO 类引脚 (118 PIN) GMAC 引脚 (56 PIN) PCIE 引脚 (165 PIN) DDR3 引脚 (624 PIN) 电源引脚 (983 PIN)... 67

5 1 技术指标 主要技术指标如下 : 兼容 ARM V8 指令集 集成 16 个 xiaomi 处理器核 (FTC660) 核心时钟频率 1.5GHz( 标配 ) L1 数据 Cache 32KB L2 Cache 8MB L3 Cache 8MB 峰值性能 96GFlops@1.5GHz 最大功耗 35W 核电压 0.9V 集成 4 个 DDR3 存储控制器 DDR3 速率可达 1600Mbps, 访存带宽可达 51.2GB/s 集成 32 Lane PCI Express v3.0 接口, 最多支持 4 个 PCIE root complex, 不能作为 endpoint 使用 BGA1944 封装,1.0mm 球间距, 封装尺寸 42.5mmX60mm IO 电压 1.8V, 包括 UART I2C GMII GPIOA GPIOB GPIOC GPIOD LPC 支持电源关断 时钟关断 DVFS 支持商业 工业等分级 1

6 2 功能描述 硬件特性 Core 存储控制器外设电源 表 2-1 功能描述 说明 兼容 ARM V8 指令集的 Xiaomi 内核 (FTC660) 16 个, 标配典型工作频率 1.5GHz 支持电源关断 DDR3 SDRAM 控制器 4 个控制器, 支持带 ECC 的 DDR3 DIMM, 支持 RDIMM UDIMM SODIMM, 电压 1.5V PCIE3.0 RootComplex 2 个 x16, 每个 X16 可分拆为 2 个 x8, 独立控制 千兆以太网控制器 2 个控制器, 均支持 1000M/100M/10M 自适应, 物理接口支持 MII GMII 规范, 电压 1.8V Flash 控制器 1 个 SPI 接口的 Flash 控制器, 支持 4 个片选, 单片最大支持容量为 512MB, 电压 1.8V UART 2 个 UART, 其中 UART0 为 9 线全功能串口,UART1 为 3 线调试串口, 电压 1.8V I2C 2 个 I2C master 控制器, 电压 1.8V GPIO 4 个 8 位 GPIO 接口,GPIOA[0:7],GPIOB[0:7],GPIOC[0:7], GPIOD[0:7],1.8V 电平 LPC 1 个 LPC 接口, 兼容 Intel Low Pin Count 协议, 可接 SuperIO 等外围芯片, 电压 1.8V 内核电源 0.9V 存控电源 1.5V IO 电源 1.8V 2

7 3 外围接口电路 3.1 DDR 接口 DDR 接口支持 X8 和 X4 两种 DDR 颗粒类型, 如表 3-1 所示 表 3-1 DDR 接口支持的内存形式颗粒芯片位宽芯片数目 X8 8 X4 16 注 : 该表芯片数目中, 不包含 ECC 内存颗粒 DDR 接口支持 1.5V DDR3 的 RDIMM UDIMM SODIMM 等内存条类型 3.2 PCIE 接口 PCIE 接口支持 PCIE3.0 规范, 兼容 规范, 其特点如下 : 包含 2 个 x16 rootcomplex 接口, PCIE 0 和 PCIE 1; 每个 x16 rootcomplex 接口都可以拆分为两个 x8 接口 ; 可支持 X1,X2,X4,X8, 支持翻转 PCIE 翻转兼容说明 ; 若是 PCIE0_[0:7] PCIE1_[0:7] 翻转 ( 逆序 ) 后连接 PCIE 插槽, 则只支持 X8 外设 PCIE0[8:15] PCIE1[8:15] 不受此约束限制, 用户可根据需要, 配置为 X1,X2,X4,X8, 且支持翻转 寄存器说明 基地址 名称 PCIE 表 3-2 PCIE 基地址 基地址 0x2810_ 寄存器列表 表 3-3 寄存器描述 偏移地址名称读写说明 3

8 类型 0x0F00 REG_PEU_BIF_MODE RW 拆分模式寄存器 0x0F08 REG_PEU_TX_LANE_FLIP_EN RW 链路反转设置寄存器 拆分模式寄存器 (0x0F00) 表 3-4 拆分模式寄存器 位 名称 读写方式 初值 说明 [3:2] PCIE1_bif_mode RW 0x1 PCIE 1 的分拆模式设置 : 00: 全部关闭 01: 一个 16x 10: 两个 8x 11:4 个 4x [1:0] PCIE0_bif_mode RW 0x1 PCIE 0 的分拆模式设置 : 00: 全部关闭 01: 一个 16x 10: 两个 8x 11:4 个 4x 链路反转设置寄存器 (0x0F08) 表 3-5 链路反转设置寄存器 位 名称 读写方式 初值 说明 [15] pcie1_c3_tx_lane_flip_en RW 0 [14] pcie1_c2_tx_lane_flip_en RW 0 [13] pcie1_c1_tx_lane_flip_en RW 0 [12] pcie1_c0_tx_lane_flip_en RW 0 [11] pcie1_c3_rx_lane_flip_en RW 0 [10] pcie1_c2_rx_lane_flip_en RW 0 [9] pcie1_c1_rx_lane_flip_en RW 0 [8] pcie1_c0_rx_lane_flip_en RW 0 [7] pcie0_c3_tx_lane_flip_en RW 0 为 1 表示手动设置 lane 反转 [6] pcie0_c2_tx_lane_flip_en RW 0 [5] pcie0_c1_tx_lane_flip_en RW 0 [4] pcie0_c0_tx_lane_flip_en RW 0 [3] pcie0_c3_rx_lane_flip_en RW 0 [2] pcie0_c2_rx_lane_flip_en RW 0 [1] pcie0_c1_rx_lane_flip_en RW 0 [0] pcie0_c0_rx_lane_flip_en RW 0 4

9 3.3 GMAC 接口 以太网控制器 (GMAC) 的主要功能是在兼容 IEEE 标准的以太网中发送和接收数据, 支持多种工业标准 PHY 接口 GMAC 接口特点 : 支持 1000Mbps/100Mbps/10Mbps 支持 IEEE Ethernet MAC,Gigabit Media Independent Interface (GMII) / Media Independent Interface (MII) GMAC 包括 GMAC 0 和 GMAC 1 两个相同的以太网控制器 接口信号主要分三类 : 时钟接口 数据接口 管理接口 外围接口的需求 : CPU 的 OSC_CLK 125MHz 时钟, 需外部提供 GMU_CLK_OSC G1_CLK_TX 和 G1_CLK_RX 在不使用情况下接地 如果没有使用 GMAC,125Mhz 外部时钟输入引脚 GMAC_OSC 建议接地 外围接口电路与下列引脚连接的信号线, 有等长需求, 如表 3-5 所示, 每个分组内各信号线要求等长 数据信号比时钟信号长 0~0.2ns, 下表中每组的首个信号为该组的时钟信号, 其它为数据信号 组 G0_CLK_GTX G0_TX[0:7] G0_TXEN G0_TXER G1_CLK_GTX G1_TX[0:7] G1_TXEN G1_TXER G0_CLK_RX G0_COL G0_CRS G0_RX[0:7] G0_RXDV G0_RXER G1_CLK_RX G1_COL G1_CRS G1_RX[0:7] 表 3-5 GMAC I/O PAD 5

10 5 6 G1_RXDV G1_RXER G0_MDC G0_MDIO G1_MDC G1_MDIO 3.4 LPC 接口 芯片集成了一个 LPC 控制器,LPC(Low Pin Count) 是 Intel 公司提出的一种低数量管脚需求的外设接口协议, 主要用来连接鼠标 键盘 串口 低速 Flash 等设备 LPC 接口采用 1.8V 的 LVCMOS, 因而在连接 LPC 设备时需要进行电平转换 LPC 接口建议通过 CPLD/FPGA, 进行电平的转换 LPC 的时钟信号为 GPIOD2_EXT_LPC_LCK, 时钟频率为 33MHz, 必须由外部提供 LPC 访问外部端口时, 只支持 4 字节对齐的地址, 读写的数据的宽度是 1 Byte 如果使用的是 EC, 那么 EC 的端口地址必须要配置成 4 字节对齐的方式 寄存器说明 基地址 名称 LPC 表 3-6 LPC 基地址 基地址 0x27FF_ 寄存器列表 表 3-7 LPC 寄存器说明 偏移地址 名称 属性 默认值 含义 0xFFE8 串行中断配置设置 LPC 接口数据读取使能 起始周期 串行中断模式和支持 RW 32 h8000_0000 寄存器 [31:0] 设备数量 注 : 操作 LPC 的寄存时, 请确保 LPC 的外部电路连接正常, 否则读写 LPC 寄存器会失败 串行中断配置寄存器 (0xFFE8) 表 3-8 串行中断配置寄存器 位 名称 读写方式 初值 说明 [31:0] nu_serirq_config RW 0x bit31: 针对读数据每次读 4 bytes 数 6

11 据使能标志 (1 b1: 读 1byte); bit1~0: 起始周期配置 (2 b11 : 8 ; 2 b10:6; 否则 4, 默认 4 clk), bit2: 串行中断模式配置默认连续模式默认为连续模式 ), bit3~4: 支持的串行中断设备数量 (2 b01 代表 32, 否则 16, 默认 16) 3.5 SPI 接口 SPI 接口仅支持连接用于存储 BIOS 用的 FLASH 芯片 寄存器说明 基地址 名称 SPI 表 3-9 SPI 基地址 基地址 0x1FFF_FF 寄存器列表 表 3-10 寄存器列表 地址 寄存器名 说明 0x00 配置寄存器 配置访问数据空间时产生的读写命令 SCK 分频等信息 0x14 Flash 容量设置寄存器 设置所连接的 FLASH 容量, 每个片选所接容量必须相同 0x18 写缓冲 flush 寄存器 写 1 将把写缓冲中的数据 flush 到 FLASH 0x20 命令端口寄存器 通过端口方式访问 SPI 时设置的命令 0x24 地址端口寄存器 通过端口方式访问 SPI 时设置的地址 0x28 高位数据寄存器 通过端口方式访问 SPI 时的高 4 字节数据 0x2C 低位数据寄存器 通过端口方式访问 SPI 时的低 4 字节数据, 读写将触发 SPI 总线操作 配置寄存器 (0x00) 表 3-11 配置寄存器 7

12 位 名称 读写方式 初值 说明 设置读写数据空间时所发出的 SPI 命令 00: 发 read(03) 和 pp(02); [7:6] cmd_mode RW 0 01: 发 4read(13) 和 4pp(12); 10: 发 fast_read(0b) 和 pp(02); 11: 发 4fast_read(0c) 和 4pp(12); 设置发出 read fast_read 和 pp 命令时的地址模式 [5] addr_mode RW 0 0:3 字节地址 1:4 字节地址 设置发出 fast_read 和 4fast_read 时是否发 dummy 字节 [4] dummy RW 0 0: 不发 1: 发 [3] wr_mode RW 0 0: 每次写请求直接发编程命令 ; 1: 写数据先放入缓冲, 多次写合并编程 ; 容量寄存器 (0x14) 表 3-12 容量寄存器 位 名称 读写方式 初值 说明 0:16MB 1:32MB 2:64MB [2:0] flash_capacity RW 0 3:128MB 4:256MB 5:512MB 6:1GB 7: 非法值 写缓冲寄存器 (0x18) 表 3-13 写缓冲寄存器 位名称读写方式初值说明 [0] flush RW 0 写 1 将产生 flush 操作 命令端口寄存器 (0x20) 表 命令端口寄存器 位 名称 读写方式 初值 说明 [17:16] flash_sel RW 0 选择要操作的 FLASH [15:8] command RW 0 要发出的 SPI 命令 [7] reserved RW 0 保留 [6] addr_mode0 RW 0 是否带地址 0: 不带地址 8

13 1: 带地址 地址模式 [5] addr_mode1 RW 0 0:3 字节地址 1:4 字节地址 是否发 dummy 字节 [4] dummy RW 0 0: 不发 1: 发 [3:0] rw_num RW 0 读写字节数目, 有效值为 0,1,2,4, 地址端口寄存器 (0x24) 表 3-15 地址端口寄存器 位名称读写方式初值说明 [31:0] addr RW 0 地址 高位寄存器 (0x28) 表 高位寄存器 位名称读写方式初值说明 [31:0] data RW 0 高 4 字节数据 低位寄存器 (0x2C) 表 3-17 低位寄存器 位名称读写方式初值说明 [31:0] data RW 0 低 4 字节数据 3.6 UART 接口 UART(Universal Asynchronous Receiver/Transmitter), 通用异步接收 / 发送装置, 定义了一 种并行数据与串行数据进行转换的协议 芯片中包含 1 个 9 线接口 UART0 和 1 个 3 线制接口 UART1, 兼容 标准 寄存器说明 基地址 名称 UART0 表 3-18 UART 基地址 基地址 0x2800_0000 9

14 UART1 0x2800_ 寄存器列表 偏移地址 名字 读写 类型 表 3-6 UART 寄存器说明 初值 0x00 RBR R 0x0 THR W 0x0 DLL R/W 0x0 0x04 DLH R/W 0x0 IER R/W 0x0 接收缓存寄存器 LCR[7] bit = 0 发送保持寄存器 LCR[7] bit = 0 波特率除数低位字节 LCR[7] bit = 1 波特率除数高位字节 LCR[7] bit = 1 中断使能寄存器 LCR[7] bit = 0 0x08 IIR R 0x01 中断识别寄存器 FCR W 0x0 先进先出控制寄存器 0x0C LCR R/W 0x0 行控制寄存器 0x14 LSR R 0x60 行状态寄存器 0x7C USR R 0x6 串口状态寄存器 说明 RBR(0x00) 表 3-7 RBR 位 名称 读写方式 初值 说明 [31:8] Reserved RO 0 保留 UART 模式下串口接收端的数据 buffer 当 Line Status Register (LCR) 数据就绪位 (DR) 被设 置之后该寄存器上的数据才有 效 [7] Receive Buffer Register RO 0 在 non-fifo(fifo_mode=none) 模式或者 FIFOs 被禁用 (FCR[0] 设置为 0) 的情况下,RBR 中的数 据必须在下个数据到来之前被读 取, 否则将被覆盖, 从而导致 over-run 错误 在 FIFO(FIFO_MODE!=NONE) 模 式或者 FIFOs 可用 (FCR[0] 设置为 10

15 1) 的情况下, 该寄存器会从头开始访问接收 FIFO, 如果接收 FIFO 满并且下一个数据到达之前该寄存器没有被读取,FIFO 里的数据会被保留, 但是任何准备进来的数据将丢失, 并导致 over-run 错误 THR(0x00) 表 3-8 THR 位 名称 读写方式 初值 说明 [31:8] Reserved RW 0 保留 UART 模式串口输出端的数 据发送 (sir_out_n) 只有当 THR Empty ( THRE ) 位 (LSR[5]) 被设置之后数据才 可以被写入 在 non-fifo 模式或者 FIFOs 禁用 (FCR[0]=0) 并且 THRE 已被设置的情况下, 向 THR Transmit 写入一个字符会清除 THRE, [7] Holding WO 0 在 THRE 被重新设置之前任何向 THR 的写入都会导致 Register THR 数据被覆盖 在 FIFO 模式或者 FIFOs 可用 (FCR[0]=1) 并且 THRE 已被 设置的情况下, 在 FIFO 满之 前可以向 THR 写入 X 个字 符 X(default=16) 取决于 你所配置的 FIFO Depth 的 值 当 FIFO 满之后, 任何写 入都无效 DLH(0x04) 表 3-9 DLH 位名称读写方式初值说明 [31:8] Reserved RW 0 保留 11

16 16 位数据的高 8 位, 用于 存储 UART 波特率除数 如 果 UART_16550_COMPATIBLE == No, 只有在 DLAB(LCR[7]) 位设置和 UART 没有占用 (USR[0] 为 0) 的时候这个寄存 才能被器访问 ; 其他情况, 只有在 DLAB(LCR[7]) 被设 置, 才能访问 输出波特率 [7] Divisor Latch RW 0 等于串口时钟 (pclk 为一个时钟, sclk 为两个时钟 (CLOCK_MODE=ENABLE)) (High) 频率除以 16 倍的波特率除数, 如下 : 波特率 = 系统时钟 /16* 波特率除数 注意 : 除数暂存器 (DLL 和 DHL) 设置为 0, 会禁用波特 率时钟, 没有串行通信 此 外, 一旦设置了 DLH, 在传 输和接收数据之前应该至少 等待 8 个最慢的 DW_apb_uart 时钟周期 DLL(0x00) 表 3-10 DLL 位 名称 读写方式 初值 说明 [31:8] Reserved RW 0 Reserved 16 位数据的低 8 位, 用于 UART 波特率除数 如 果 UART_16550_COMPATIBLE Divisor == No, 只有在 DLAB(LCR[7]) 位设置和 UART 没有占用 [7] Latch (Low) RW 0 (USR[0] 为 0) 的时候这个寄存才能被器访问 ; 其他情况, 只有在 DLAB(LCR[7]) 被设 置, 才能访问 输出波特率 等于串口时钟 (pclk 为一个 时钟, sclk 为两个时钟 (CLOCK_MODE=ENABLE)) 频率除以 16 倍的波特率除 12

17 数, 如下 : 波特率 = 系统时钟 /16* 波特率除数 注意 : 除数暂存器 (DLL 和 DHL) 设置为 0, 会禁用波特率时钟没有串行通信 此外, 一旦设置了 DLL, 在传输和接收数据之前应该至少等待 8 个最慢的 DW_apb_uart 时钟周期 IER(0x04) 表 3-11 IER 位 名称 读写方式 初值 说明 [31:8] Reserved RO 0 保留 只有当 THRE_MODE_USER == Enabled 时, 可编程 THRE 中断模 [7] PTIME RW 0 式才能开启并被写入 该位总是可读 用来启用 / 禁用中断的产生 0 = 禁用 1 = 启用 [6:4] Reserved RO 0 启用 Modem 状态中断 这位用来 启用 / 禁用 Modem 状态中断的产 [3] EDSSI RW 0 生 这个中断优先级为 4 0 = 禁用 1 = 启用 启用 Receiver Line 状态中断 这 位用来启用 / 禁用 Receiver Line 状 [2] ELSI RW 0 态中断的产生 这个中断的优先级最高 0 = 禁用 1 = 启用 启用 Transmit Holding Register Empty Interrupt 这位用来启用/ 禁用 Transmitter Holding Register [1] ETBEI R/W 0 Empty Interrupt 的产生 这个中断 的优先级为 3 0 = 禁用 1 = 启用 启用接收数据可用中断 这位用 [0] ERBFI R/W 0 来启用 / 禁用接受数据可用中断和数据超时中断 ( 在 FIFO 模式和 FIFO 打开 ) 的产生 这个中断的 13

18 优先级位 2 0 = 禁用 1 = 启用 IIR(0x08) 表 3-12 IIR 位 名称 读写方式 初值 说明 [31:8] Reserved RO 0 保留 FIFOs 的启用 这个用来显示 [7:6] FIFOs Enabled FIFOs 为启用还是禁用 RO 0 (or FIFOSE) 0 = 禁用 1 = 启用 [5:4] Reserved N/A 0 保留 中断 ID 这位用来显示等待执行的最高优先级中断, 可以是以下类型中的一个 : 0000 = modem status 0001 = no interrupt pending 0010 = THR empty [3:0] 0100 = received data Interrupt ID (or RO 0x1 available IID) 0110 = receiver line status 0111 = busy detect 1100 = character timeout bit[3] 表示只有 FIFOs 可用并 且用于辨别一个 Character Timeout condition interrupt 时 这个中断才起作用 LCR(0x0C) 表 3-13 LCR 位 名称 读写方式 初值 说明 [31:8] Reserved RO 0 保留 除数锁存访问位 如果 UART_16550_COMPATIBLE==NO, 则只有当 UART 空闲 (USR[0] 等于 0) [7] DLAB RW 0 的时候可写 ; 否则总是可写, 可读 该位被用来使能除数锁存器 (DLL 和 DLH) 的读和写, 从而设置 UART 的 波特率 该位在初始化波特率之后必 须被清除以用来访问其他寄存器 [6] Break (or BC) RW 0 Break 控制位 该位用来产生一个发 14

19 送到接收设备的 break 状态 如果设 置成 1, 串行输出被强制成 spacing (logic 0) 状态 如果不在 Loopback 模式 ( 取决于 MCR[4]), sout 信号将 被强制成低电平直到 Break 位被清 除 如果 SIR_MODE==Enable 并且为 活动状态 (MCR[6] 设置成 1 ), sir_out_n 信号持续发送脉冲 如果在 Loopback 模式下,break 状态对于接 收端来说是内部 loop back 并且 sir_out_n 线强制成低 [5] Stick Parity reserved RO 0 (reserved) 偶 校 验 选 择 位 如 果 [4] EPS RW 0 UART_16550_COMPATIBLE==NO, 则只有当 UART 空闲的时候可写, 否则一直可写, 可读 当校验使能的时候 (PEN 设置成 1), 此位被用来选择奇还是偶校验 如果该位被设置成 1, 一个 logic 1s 偶数被发送并检查, 如果设置成 0, 一个 logic 1s 奇数被发送并检查 校 验 使 能 位 如 果 [3] PEN RW 0 UART_16550_COMPATIBLE==NO, 则只有当 UART 空闲的时候可写, 否则一直可写, 可读 在发送串行字符时该位被用来使能或者禁用产生奇偶校验, 在接收串行字符时该位被用来使能或者禁用奇偶检查 [2] STOP RW 0 停止位个数选择位 如果 UART_16550_COMPATIBLE==NO, 则只有当 UART 空闲的时候可写, 否则一直可写, 可读 该位用来在外设发送和接收数据的时候选择每个字符的停止位个数 如果设置成 0, 在串行数据中发送一个停止位 如果设置成 1, 并且数据位设置成 5(LCR[1:0] 设置成 0), 发送 1.5 个的停止位 否则发送两个停止位 注意不管选择了多少个停止位, 接收端只检测第一个停止位 0 = 1 stop bit 1 = 1.5 停止位当 DLS(LCR[1:0] 等于 0), 否则 2 停止位 15

20 [1:0] DLS (or CLS, as used in legacy) 注意 : 由于在传输一些配置和波特时 钟除数值相关的字符中可能被插入 一些空闲时间, 所以 DW_apd_uart 停 止位间隔可能会变长 数据长度选择位 如果 UART_16550_COMPATIBLE==NO, 则只有当 UART 空闲的时候可写, 否 则一直可写, 可读 该位被用来选择外设发送和接收时 RW 0 每个字符的数据位个数 可供选择的 个数范围如下 00 = 5 bits 01 = 6 bits 10 = 7 bits 11 = 8 bits LSR(0X14) 表 3-27 LSR 位 名称 读写方式 初值 说明 [31:8] Reserved RO 0 保留 接收 FIFO 错误位 仅当 FFIFO_MODE!=NONE 并且 FIFO 使能时有效 (FCR [0] 设置为 1) 该位用来指示在 FIFO 中至少有一 [7] RFE RO 0 个奇偶错误或帧错误或数据终止发送 0 = RX FIFO 没有错误 1 = RX FIFO 错误 当 LSR 被读取, 并且错误在接收 FIFO 顶端, 而且 FIFO 里没有其他 错误时被清除 发送器空位 仅当 FFIFO_MODE!=NONE 并且 FIFO 使能时有效 (FCR [0] 设置为 1) [6] TEMT RO 1 只要发送移位寄存器和 FIFO 都是 空, 该位就置位 如果在非 FIFO 模式或 FIFO 禁用, 只要发送器保持寄存器和发送移 位寄存器都为空, 该位被设置 [5] THRE RO 1 发送保持寄存器空标志位 如果 THRE_MODE_USER == 16

21 [4] BI RO 0 [3] FE RO 0 Disabled 或禁用 THRE 模式 ( 不论 FIFO 的是否正在执行还是与否启用 ), 该位表明 THR 或发送 FIFO 为空 不论数据从 THR 或 TX FIFO 写到发送移位寄存器还是没有数据写到 THR 或 TX FIFO, 该位都会被置位 如果启用了 THRE 中断, 这也会突然引发 THRE 中断 如果 THRE_MODE_USER == Enabled 并且 FIFO_MODE!=NONE, 而且所有模式都处于激活状态 (IER[7]=1 并且 FCR[0]=1), 此时该位将指示发送 FIFO 是否满 ( 阈值由 FCR [ 4 ] 阈值设置 ), 而不是控制 THRE 中断 发送中断位 该位用于指示串口输入数据时发生了中断, 如果在 UART 模式 (SIR_MODE == Disabled), 每当输入的逻辑 0 的保持时间超过开始时间 + 数据位 + 停止位和奇偶校验的总和时, 该位置位 如果红外模式 ( SIR_MODE == Enabled), 每当输入的逻辑 0 的保持时间超过开始时间 + 数据位 + 停止位和奇偶校验的总和时, 该位置位 当串口仅接收到一个字符, 并且该字符内所有位全部为 0 时, 该位置位 被中断的数据到达 FIFO 的顶端时, 该位被置位 读 LSR 将清除 BI 位 在 non-fifo 模式下,BI 位一直置位, 直到 LSD 被读取 帧错误位 这是用来表示在接收器中发生一个帧错误的 当接收的数据没有检测到一个有效的 STOP 位时将会发生帧错误 在 FIFO 模式中, 由于帧错误与接收字符有关, 当帧错误的字符到达 FIFO 的顶部时才设置该位, 当一个帧错误发生时, DW_apb_uart 尝试重新同步 他会 17

22 [2] PE RO 0 [1] OE RO 0 [0] DR RO 0 假设错误是由于下一个字符的开始位错误造成的, 然后继续接收其他位 特别注意 : 如果 break interrupt(lsr[4]) 发生该位将被置位 0 = 无帧错误 1 = 帧错误读 LSR 将清除 FE 位 当 LCR[3]=1 时, 此时指示在接收器中的奇偶校验错误的发生 在 FIFO 模式中, 由于奇偶错误与接收字符有关, 当奇偶性错误的字符到达 FIFO 的顶部时才设置该位, 特别注意 : 如果 break interrupt(lsr[4]) 发生该位将被置位 0 = 无奇偶校验错误 1 = 奇偶校验错误读 LSR 将清除 PE 位 溢出错误位 这是用来指示溢出错误的发生 如果在读取旧的数据前接收到一个新数据字符将发生这种情况 在 non-fifo 模式下, 当之前的字符没有从 RBR 中读出, 此时一个新的字符到达接收器时 OE 位被置位 发生这种情况时,RBR 的数据被覆盖 在 FIFO 模式中, 当 FIFO 已满且新字符到达接收器时溢出错误也会发生 此时在 FIFO 中的数据被保留, 而在接收移位寄存器中的数据将会丢失 0 = 无溢出错误 1 = 溢出错误读 LSR 将清除 OE 位 数据就绪位 这是用来指示在 RBR 或者接收 FIFO 中至少已接收一个字符 0 = 无数据就绪 1 = 数据就绪在 non-fifo 模式下, 读取 RBR 时该位被清除, 或者在 FIFO 模式下, 接收 FIFO 为空时该位被清除 18

23 USR(0x7C) 表 3-28 USR 位 名称 读写方式 初值 说明 [31:5] Reserved RO 0 保留 [4] RFF RO 0 指示接收 FIFO 满 该位只有当 FIFO_STAT== YES 时有效 这是用来指示该接收 FIFO 全满 0 = 接收 FIFO 未满 1 = 接收 FIFO 满当 RX FIFO 未满该位被清零 指示接收 FIFO 不为空 该位只有当 FIFO_STAT== YES 时有效 这是用来指示接收 FIFO 包含一个或多个条目 [3] RFNE RO 0 0 = 接收 FIFO 为空 1 = 接收 FIFO 不为空当 RX FIFO 为空时该位被清零 指示发送 FIFO 空 该位只有当 FIFO_STAT== YES 有效 这是用来指示发送 FIFO 完全是空的 [2] TFE RO 1 0 = 发送 FIFO 不为空 1 = 发送 FIFO 空 当 TX FIFO 不再是空, 该位被 清零 [1] TFNF RO 1 指示发送 FIFO 未满 该位只有当 FIFO_STAT== YES 有效 这是用来指示在未满发送 FIFO 0 = 发送 FIFO 满 1 = 发送 FIFO 未满 当 TX FIFO 满时该位被清零 19

24 指示 UART 忙 该位只有当 UART_16550_COMPATIBLE == NO 时有效, 指示串口正 在进行传输 ; 该位清 0 时表明 DW_apb_uart 空闲或处于 idle 状态 0 = DW_apb_uart 空闲或处 于 idle 状态 1 = DW_apb_uart 忙 ( 主动 传输数据 ) 该位将在以下任意条件发生 时设置为 1( 忙 ): 1. 正在进行数据传输 [0] BUSY RO 0 2. 当不使用 FIFO 的访问模式和波特率除数为非零时, 并且当前传输数据在 THR 中 3. 接口正在进行数据接收 4. 当 RBR 正在接收数据时, FIFO 访问模式不允许使用注意 : 尽管其他设备发送了一个新的字符, 串口的 busy 位却处于清除状态, 也就是说, 虽然一个新字符的 start 位刚到达 DW_apb_uart, 但是在 THR 和 RBR 却没有数据, 此时串口的 busy 位也处于清除状态 因为判定 start 位有效是在该位的中间, 而不是起始位置, 具体何时有效要根据波特率的分频设置 如果第二个系统时钟已经执行 ( CLOCK_MODE ==Enabled), 对该位的判定也会被较慢时钟推迟的几个周期 20

25 3.7 I2C 接口 芯片包含 2 个 I2C 接口, 分别为 I2C 0 和 I2C 1 I2C(Inter-Integrated Circuit) 总线是由 PHILIPS 公司开发的两线式串行总线, 分别包含一条串行数据线 SDA 与一条串行时钟线 SCL I2C 用于连接微控制器及其外围设备, 是微电子通信控制领域广泛采用的一种总线标准 它是同步通信的一种特殊形式, 具有接口线少, 控制方式简单, 器件封装形式小, 通信速率较高等优点 寄存器说明 基地址 名称 I2C 0 I2C 1 表 3-29 I2C 基地址基地址 0x2800_2000 0x2800_ 寄存器列表 表 3-30 I2C 寄存器列表偏移地址 名称 读写类型 初值 说明 0x00 IC_CON R/W 0x7F I2C 控制寄存器 0x04 IC_TAR R/W 0x1055 I2C 目的地址寄存器 0x08 IC_SAR R/W 0x55 I2C 从设备地址寄存器 0x10 IC_DATA_C MD R/W 0x0 I2C 接收 / 发送数据 buff 和命令寄存器 0x14 IC_SS_SCL_ HCNT R/W 0x190 I2C 标准速率时钟计数器, 高 32 位 0x18 IC_SS_SCL_ LCNT R/W 0x1D6 I2C 标准速率时钟计数器, 低 32 位 0x1C IC_FS_SCL_ HCNT R/W 0x3C I2C 快速速率时钟计数器, 高 32 位 0x20 IC_FS_SCL_ LCNT R/W 0x82 I2C 快速速率时钟计数器, 低 32 位 0x24 IC_HS_SCL _HCNT R/W 0x6 I2C 高速速率时钟计数器, 高 32 位 0x28 IC_HS_SCL _LCNT R/W 0x10 I2C 高速速率时钟计数器, 低 32 位 0x30 IC_INTR_M ASK R/W 0x8FF I2C 中断 mask 寄存器 21

26 0x34 IC_RAW_IN TR_STAT R 0x0 I2C 原始中断状态寄存器 0x38 IC_RX_TL R/W 0x0 I2C 接收 FIFO 门限寄存器 0x3C IC_TX_TL R/W 0x0 I2C 发送 FIFO 门限寄存器 0x6C IC_ENABLE R/W 0x0 I2C 使能寄存器 0x70 IC_STATUS R 0x6 I2C 状态寄存器 IC_CON(0x00) 表 3-31 IC_CON(0x00) 寄存器说明 位 名称 读写类型 初值 说明 [15:7] 此位是 I2C Slave 功能是否关闭的控制位 即在使用 I2 功能时通过配置此参数控制 I2C Slave 功能是打开还是关 闭 [6] 软件驱动可以在系统复位后配置此参数, 即通过软件配置 IC_SLAVE_DI IC_SLAVE Slave 的使能或关闭并不是必需的 在默认状态下和复位 RW SABLE 配置参 _DISABLE 状态下 I2C 的 Slave 功能均是使能的 如果此位设置为 1, 数则 I2C 控制器只能作为 Master 使用, 不能响应反向 Slave 的请求 0: 使能 I2C Slave 功能 1: 关闭 I2C Slave 功能 此位设置作为 I2C Master 使用时是否支持 restart 功能 某些 I2C Slave 设备不能处理 Restart 信号, 但多数 I2C Slave 设备均能处理 Restart 信号 0: 不支持 Restart 1: 支持 Restart [5] IC_RESTA IC_RESTART_ 当设备不支持 RESTART 功能时,I2C 的 Master 控制器支 RW RT_EN EN 配置参数持以下功能 : 不发送起始字节 不支持 Hs 工作模式 不能进行 10 位地址读操作 在不支持 Restart 功能时进行以上操作, IC_RAW_INTR_STAT 寄存器中的 TX_BART 标志会被置起 当 I2C_DYNAMIC_TAR_UPDATE 参数为 0( No ) 时, 此位 [4] C_10BITA DDR_MAST ER or C_10BITA DDR_MAST ER_rd_on ly RW IC_10BITADD R_MASTER 配置参数 为 IC_10BITADDR_MASTER, 控制其作为 I2C Master 时使用 7 位地址模式还是 10 位地址模式进行通信 当 I2C_DYNAMIC_TAR_UPDATE 参数为 1( Yes ) 时, 此位为 IC_10BITADDR_MASTER_rd_only, 读写类型为只读状态, 从此处读取的值为 IC_TAR 的第 12 位所设置的值, 其含义为 : 0: 7 位地址模式 1: 10 位地址模式 [3] IC_10BIT RW IC_10BITADD 当工作在 slave 模式时, 此位用来选择 I2C 控制器响应 7 22

27 ADDR_SLA VE [2:1] SPEED RW [0] MASTER_M ODE RW R_SLAVE 配位地址访问模式还是响应 10 位地址访问请求模式置参数 0: 7 位地址模式 此模式下, 对于 10 位地址访问请求,I2C 控制器忽略请求, 不响应 ; 对于 7 位地址访问请求,I2C 控制器将请求中的 7 位地址与 IC_SAR 寄存器中的 7 位地址值进行比对, 若两者一致则响应, 若不一致则不响应 1: 10 位地址模式 此模式下,I2C 控制器只响应与 IC_SAR 寄存器中的 10 位地址相匹配的 10 位地址访问请求 这个参数用来设定 I2C 控制器工作在 Master 模式时的速率 此参数值的范围为 1~IC_MAX_SPEED_MODE 如果软 IC_MAX_SPEE 件设定的值不在 1~IC_MAX_SPEED_MODE 范围内, 硬件会 D_MODE 配置将其更改为 IC_MAX_SPEED_MODE, 以起到保护作用 参数 1: 标准模式 (0 to 100 Kbit/s) 2: 快速模式 ( 400 Kbit/s) 3: 高速模式 ( 3.4 Mbit/s) 此位是 I2C Master 的使能位 IC_MASTER_M 0: 关闭 master 功能 ODE 配置参数 1: 使能 master 功能 IC_TAR(0x04) 表 3-32 IC_TAR(0x04) 寄存器说明 位 名称 读取类型 初值 说明 [15:13] 此位用来选择工作在 I2C Master 时使用 7 位地址模式还 [12] 是 10 位地址模式进行通信 IC_10BIT IC_10BITADD 0: 7 位地址模式 ADDR_MAS RW R_MASTER 配 1: 10 位地址模式 TER 置参数声明 : 此位只有在 I2C_DYNAMIC_TAR_UPDATE 为 Yes 时才有效 此位用来选择 I2C 通信使用广播呼叫地址格式还是使用 [11] SPECIAL RW 0 START BYTE 格式 0: 使用 IC_TAR 地址格式, 忽略 GC_OR_START 设置 1: 使用 GC_OR_START 设定的格式 如果位 11 (SPECIAL) 为 1, 此位设定 DW_apb_i2c 使用广 播呼叫地址格式还是 START BYTE 格式 0: 使用广播呼叫地址格式 [10] GC_OR_ST 此模式下只能进行写操作 如果尝试在此模式下进行读操 RW 0 ART 作, 则 IC_RAW_INTR_STAT 寄存器中的第 6 位 (TX_ABRT) 将会被置位 如果 SPECIAL 位一直为 1,I2C 控制器则会 一直工作在这种模式下 1: START BYTE 格式 [9:0] IC_TAR RW IC_DEFAULT_ 此处用来存放 Master 通信的目的地址 使用广播呼叫地 23

28 TAR_SLAVE_A 址格式时此参数可以忽略, 使用 START BYTE 格式时只需 DDR 配置参数 CPU 向此处进行一次写操作 IC_SAR(0x08) 表 3-33 IC_SAR(0x08) 寄存器说明 位 名称 读写类型 初值 说明 [15:10] [9:0] IC_SAR RW IC_SAR 存放 I2C 工作在 Slave 模式下的 Slave 地址 7 IC_DEFAULT_ 位地址模式下只使用 IC_SAR[6:0] 只有在关闭 I2C 接口 SLAVE_ADDR 功能时 (IC_ENABLE=0) 才能更新 IC_SAR 的值, 在 I2C 配置参数接口处于使能状态时不能改变 IC_SAR 的值 IC_DATA_CMD(0x10) 表 3-34 IC_DATA_CMD(0x10) 寄存器说明 位 名称 读取类型 初值 说明 [15:11] 此位设置是否在发送或接收一个字节数据前发起 RESTART, 且只有在 IC_EMPTYFIFO_HOLD_MASTER_EN 为 1 时有效 1 如果 IC_RESTART_EN =1, 不管传输方向与上次传 输一致还是相反, 在发送或接收数据前会发起一个 [10] RESTART WO - RESTART; 如果 IC_RESTART_EN =0, 则使用 START/Stop 配对模式, 每次以 START 作为一次传输的开始, 以 Stop 结束一次传输 0 如果 IC_RESTART_EN =1, 则只有在传输方向与上 次发生改变时发起一个 RESTART; 如果 IC_RESTART_EN =0, 则使用 START/Stop 配对模式, 每次以 START 作为 一次传输的开始, 以 Stop 结束一次传输 此位设置是否在发送或接收到一个字节数据后发起 STOP, 且只有在 IC_EMPTYFIFO_HOLD_MASTER_EN 为 1 时 有效 1 不管 TxFIFO 是否为空, 在发送或接收数据后都会 发起一个 STOP 如果 Tx FIFO 不为空, 则在发送或接收 [9] STOP WO - 数据后, 总线的 Master 端会立即通过产生 START 和申请总线仲裁的方式开始一次新的通信 0 不管 TxFIFO 是否为空, 在发送或接收数据后都不 发起 STOP 如果 Tx FIFO 不为空, 则继续发送或接收 当前通信的其他数据字节 ( 由 CMD 位决定是发送还是接 收 ); 如果 Tx FIFO 为空, 总线的 Master 端会持续拉低 SCL 信号线并将总线挂起, 直到 Tx FIFO 中有新的有效值 [8] CMD WO 0 此位是 I2C 控制器工作在 Master 模式时进行读写操作的控制位 控制器工作在 Slave 模式时, 此位值无效 24

29 1 = 读 0 = 写 In slave-receiver mode, this bit is a don t care 工作在 Slave 接收模式时不需要考虑 CMD 位的设定 工作在 Slave 发送模式时,CMD=0 表示 IC_DATA_CMD 中的数据将被发送 在对 CMD 位进行操作时需要考虑以下情况 : 无论 IC_RAW_INTR_STAT 中的 SPECIAL 位 ( 第 11 位 ) 是否被清 0, 在发送广播呼叫地址格式后进行读操作都会导致 TX_ABRT 中断被置位 (IC_RAW_INTR_STAT 寄存器中的第 6 位 ); 如果在收到 RD_REQ 中断后软件置 CMD 位为 1 也同样会导致 TX_ABRT 中断事件的发生, 即 TX_ABRT 位被置 1 DAT 中存放用来发送的数据或从 I2C 总线上接收到的数据 在开始一次读操作时向 DAT 中写入数据将被 [7:0] DAT WO 0 DW_apb_i2c 忽略, 但此时从 DAT 读取的数据则是从 I2C 总线接口接收到的数据 IC_SS_SCL_HCNT(0x14) 表 3-35 IC_SS_SCL_HCNT(0X14) 寄存器 位名称读取类型初值说明 [15:0] IC_SS_SC L_HCNT RW IC_SS_SCL_H IGH_COUNT configurati on parameter 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置标准速率下 SCL 高电平持 续时间的计数值 该寄存器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况 下的写操作无效 寄存器最小取值为 6, 比 6 小的值无法 设置, 若设置值小于 6, 则硬件将寄存 器值设置为 6 当 APB_DATA_WIDTH=8 时, 寄存器设置的顺序尤为关键, 此时, 首 先应配置计数器的低 32 位数据, 之后再 配置高 32 位 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存 器只读 IC_SS_SCL_LCNT(0x18) 表 3-36 IC_SS_SCL_LCNT(0X18) 寄存器 位名称读取类型初值说明 [15:0] IC_SS_SC L_LCNT RW IC_SS_SCL_L OW_COUNT configurati 25 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置标准速率下 SCL 低电平持

30 on 续时间的计数值 parameter 该寄存器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况下的写操作无效 寄存器最小取值为 8, 比 8 小的值无法设置, 若设置值小于 8, 则硬件将寄存器值设置为 8 当 APB_DATA_WIDTH=8 时, 寄存器设置的顺序尤为关键, 此时, 首先应配置计数器的低 32 位数据, 之后再配置高 32 位 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存器只读 IC_FS_SCL_HCNT(0x1C) 表 3-37 IC_FS_SCL_HCNT(0X1C) 寄存器 位名称读写类型初值说明 [15:0] IC_FS_SC L_HCNT RW IC_FS_SCL_H IGH_COUNT configurati on parameter 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置快速模式下 SCL 高电平持 续时间的计数值 用于发送高速模式下 的 Mater Code 和 START BYTE 或 General Call 当 IC_MAX_SPEED_MODE= standard, 此 寄存器为只读且返回值为全 0 该寄存 器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况下的 写操作无效 寄存器最小取值为 6, 比 6 小的值无法 设置, 若设置值小于 6, 则硬件将寄存 器值设置为 6 当 APB_DATA_WIDTH=8 时, 寄存器设置的顺序尤为关键, 此时, 首 先应配置计数器的低字节 (8 位 ) 数据, 之后再配置高字节 (8 位 ) 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存 器只读 IC_FS_SCL_LCNT(0x20) 表 3-38 IC_FS_SCL_LCNT(0X20) 寄存器 位名称读写类型初值说明 [15:0] IC_FS_SC L_LCNT RW IC_FS_SCL_L OW_COUNT configurati 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置快速模式下 SCL 低电平持 26

31 on 续时间的计数值 用于发送高速模式下 parameter 的 Mater Code 和 START BYTE 或 General Call 当 IC_MAX_SPEED_MODE= standard, 此寄存器为只读且返回值为全 0 该寄存器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况下的写操作无效 寄存器最小取值为 8, 比 8 小的值无法设置, 若设置值小于 8, 则硬件将寄存器值设置为 8 当 APB_DATA_WIDTH=8 时, 寄存器设置的顺序尤为关键, 此时, 首先应配置计数器的低字节 (8 位 ) 数据, 之后再配置高 32 位字节 ( 8 位 ) 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存器只读 IC_HS_SCL_HCNT(0x24) 表 3-39 IC_HS_SCL_HCNT(0X24) 寄存器 位名称读写类型初值说明 [15:0] IC_HS_SC L_HCNT RW IC_HS_SCL_H IGH_COUNT configurati on parameter 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置高速模式下 SCL 高电平持 续时间的计数值 SCL 高电平时间依赖于总线的负载情况 接 100pF 的负载时, 高电平时间为 60ns; 接 400pF 的负载时, 高电平时间为 120ns IC_MAX_SPEED_MODE!= high 时, 此寄存器为只读且返回值为全 0 该寄存器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况 下的写操作无效 寄存器最小取值为 6, 比 6 小的值无法 设置, 若设置值小于 6, 则硬件将寄存 器值设置为 6 当 APB_DATA_WIDTH=8 时, 寄存器设置的顺序尤为关键, 此时, 首 先应配置计数器的低字节 (8 位 ) 数据, 之后再配置高字节 (8 位 ) 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存 器只读 27

32 IC_HS_SCL_LCNT(0x28) 表 3-40 IC_HS_SCL_LCNT(0X28) 寄存器 位名称读写类型初值说明 [15:0] IC_HS_SC L_LCNT RW IC_HS_SCL_L OW_COUNT configurati on parameter 该寄存器必须在 I2C 总线传输之前进行 设计, 用于明确正确的 I/O 时序 该寄 存器用于设置高速模式下 SCL 低电平持 续时间的计数值 SCL 低电平时间依赖于总线的负载情况 接 100pF 的负载时, 低电平时间为 160ns; 接 400pF 的负载时, 低电平时间 为 320ns IC_MAX_SPEED_MODE!= high 时, 此寄存器为只读且返回值为全 0 该寄存器仅当 I2C 接口在不使能情况下 ( 当 IC_ENABLE=0 时 ) 可写 其他情况 下的写操作无效 当 APB_DATA_WIDTH=8 时, 寄存器设置的 顺序尤为关键, 此时, 首先应配置计数 器的低字节 (8 位 ) 数据, 之后再配置 高字节 (8 位 ) 寄存器最小取值为 8, 比 8 小的值无法 设置, 若设置值小于 8, 则硬件将寄存 器值设置为 8 当 IC_HC_COUNT_VALUES 为 1 时, 该寄存 器只读 IC_INTR_MASK(0x30) 表 3-41 IC_INTR_MASK(0X30) 寄存器 位 名称 读写类型 初值 说明 [15:12] [11] M_GEN_CALL RW 0x1 [10] M_START_DET RW 0 [9] M_STOP_DET RW 0 [8] M_ACTIVITY RW 0 [7] M_RX_DONE RW 0x1 M_GEN_CALL 中断事件标志屏蔽控制 置 [6] M_TX_ABRT RW 0x1 位时, 如果对应中断事件发生, 不会置 [5] M_RD_REQ RW 0x1 位 IC_INTR_STAT 寄存器中对应的中断 [4] M_TX_EMPTY RW 0x1 标志位 [3] M_TX_OVER RW 0x1 [2] M_RX_FULL RW 0x1 [1] M_RX_OVER RW 0x1 [0] M_RX_UNDER RW 0x1 28

33 IC_RAW_INTR_STAT(0x34) 表 3-42 IC_RAW_INTR_STAT(0x34) 寄存器说明 位 名称 读写类型 初值 说明 [15:12] [11] GEN_CALL RO 0 只有接收并识别到广播呼叫地址格式时才会被置位 一旦 GEN_CALL 置位, 则只有通过关闭 I2C 控制器或 CPU 读取 IC_CLR_GEN_CALL 寄存器中的第 0 位,GEN_CALL 位才能被清 0 I2C 控制器会把接收到的数据存放在 Rx 缓冲区中 [10] 此位状态表示在 I2C 总线接口上是否产生了 START START_DE RO 0 或 RESTART 与控制器工作在 Master 模式还是 T Slave 模式无关 [9] STOP_DET RO 0 此位状态表示在 I2C 总线接口上是否产生了 STOP 与控制器工作在 Master 模式还是 Slave 模式无关 [8] ACTIVITY RO 0 此位标志 I2C 控制器的活动状态 有 4 种方法可以清楚 ACTIVITY 标志 : 关闭 DW_apb_i2c 读取 IC_CLR_ACTIVITY 寄存器 读取 IC_CLR_INTR 寄存器 系统复位一旦被置位则会一致保持置位, 直到通过以上四种方式中的一种将其标志清 0 即使在 Idle 状态下如果采取清 0 动作的话也会一直保持置位 [7] RX_DONE RO 0 I2C 控制器工作在 Slave 发送模式下, 发送完数据的最后一个字节后, 在规定时间内没有收到 Master 端的回应 (ACK),RX_DONE 将会被置位表示结束 [6] TX_ABRT RO 0 该数据位表示 I2C 无法完成传输 FIFO 中存储的计划动作 这个情况在 I2C master 和 slave 中都有可能发生, 被认为是传输终止标志 当该数据位为 1 时,IC_TX_ABRT_SOURCE 寄存器将记录传输终止的原因 [5] RD_REQ RO 0 读请求标志 当 I2C 控制器工作在 Slave 模式下, 且有 Master 尝试从 DW_apb_i2c 中读取数据时, RD_REQ 被置位 I2C 控制器在处理 RD_REQ 请求期间会将 SCL 保持低电平 RD_REQ 是处理器必须响应的中断请求, 并在请求处理完成时把 Master 所要的数据放到 IC_DATA_CMD 寄存器中 读取 IC_CLR_RD_REQ 寄存器的值可以将 RD_REQ 标志清 0 [4] TX_EMPTY RO 0 当发送缓冲区小于等于 IC_TX_TL 寄存器中设定的门限值时将置位 TX_EMPTY 当缓冲区大于门限值时, 硬件会自动把 TX_EMPTY 清 0 IC_ENABLE 29

34 bit0=0 时, TXFIFO 被刷新复位,TXFIFO 可以认 为为空, 此时 TX_EMPTY 被置为 1 当总线处于非 活动状态时 ic_en=0, TX_EMPTY=0 在发送过程中, 如果发送缓冲区大小达到 IC_TX_BUFFER_DEPTH 且处理器还在尝试通过向 IC_DATA_CMD 中写数据来发起另一个 I2C 命令时, [3] TX_OVER RO 0 TX_OVER 被置位 即使在控制器功能被关闭的情况 下 (IC_ENABLE[0]=0) RX_OVER 状态也会一直保 持置位, 直到总线进入空闲状态 ic_en =0 时, TX_OVER 被清 0 当接收缓冲区大于等于 IC_RX_TL 中设定的门限 值 (RX_TL) 时,RX_FULL 置位 当缓冲区小于门限 [2] RX_FULL RO 0 值时, 硬件会自动把 RX_FULL 清 0 IC_ENABLE bit0=0 时,RXFIFO 被刷新复位,RXFIFO 为空, 此 时 RX_FULL 被清 0 当接收缓冲区大小达到 IC_RX_BUFFER_DEPTH, 且 还继续从外部接收数据时,RX_OVER 置位 TX_OVER 事件会被 I2C 控制器响应, 且在缓冲区满后接收到 [1] RX_OVER RO 0 的所有数据均被丢弃 即使在控制器功能被关闭 的情况下 (IC_ENABLE[0]=0) RX_OVER 状态也会 一直保持置位, 直到总线进入空闲状态 ic_en= 0 时, RX_OVER 被清 0 处理器通过访问 IC_DATA_CMD 寄存器获取接收缓 冲区的数据时, 若接收缓冲区为空,RX_UNDER 被 [0] RX_UNDER RO 0 置位 即使在控制器功能被关闭的情况下 (IC_ENABLE[0]=0) RX_UNDER 状态也会一直保持 置位, 直到总线进入空闲状态 ic_en =0 时, RX_UNDER 被清 IC_RX_TL(0x38) 表 3-43 IC_RX_TL(0x38) 寄存器 位 名称 读写类型 初值 说明 [15:8] 接收缓冲区满中断 (RX_FULL) 触发门限控制 有效范围 0~255, 但最大值不能超出缓冲区的深度 如果设定值超出缓 [7:0] RX_TL RW 缓冲区大于等于 1 时触发中断, 255 表示接收缓冲区大于等于 256 时触发中 IC_RX_TL 配冲区的最大深度, 其实际设置的有效大置参数小为缓冲区的最大深度值 0 表示接收 断 30

35 IC_TX_TL(0x3C) 表 3-44 IC_TX_TL(0X3C) 寄存器 位 名称 读写类型 初值 说明 [15:8] 发送缓冲区满中断 (TX_EMPTY) 触发门限控制 有效范围 0~255, 但最大值不能超出缓冲区的深度 如果设定值超出 [7:0] TX_TL RW 送缓冲区小于等于 0 时触发中断, 255 表示发送缓冲区小于等于 255 时触发中断 IC_TX_TL 配缓冲区的最大深度, 其实际设置的有效置参数大小为缓冲区的最大深度值 0 表示发 IC_ENABLE(0x6C) 表 3-45 IC_ENABLE(0X6C) 寄存器 位 名称 读写类型 初值 说明 [15:1] [0] ENABLE RW 0 I2C 控制器使能或关闭控制位 0: 关闭 I2C 控制器功能 1: 使能 I2C 控制器功能以下现象会在 I2C 控制器功能关闭时出现 : TXFIFO 和 RXFIFO 被刷新 IC_INTR_STAT 寄存器中的状态保持不变 在控制器发送数据过程中关闭 I2C 控制器功能, 则在当前发送操作完成后, 清空发送缓冲区中的内容 在控制器接收数据过程中关闭 I2C 控制器功能, 通信将在接收完当前字节后停止, 且不响应使用 asynchronous pclk and ic_clk 的系统 (IC_CLK_TYPE=1) 在使能或关闭控制器时有 2 个 ic_clk 的延迟 IC_STATUS(0x70) 表 3-46 IC_STATUS(0X70) 寄存器位名称读写类型初值说明 [31:7] SLV_ACTI Slave FSM 活动状态标志 Slave [6] RO 0 VITY FSM(Slave Finite State Machine 不在 31

36 Idle 状态时被置位 0: Slave FSM 处于 Idle 状态, 此时 I2C 控制器的 Slave 功能处于非活动状态 1: Slave FSM 处于非 Idle 状态, 此时 I2C 控制器的 Slave 功能处于活动状 态 Master FSM 活动状态标志 Master FSM(Master Finite State Machine) 处 于非 Idle 状态时被置位 [5] MST_ACTI 0: Master FSM 处于 Idle 状态, 此时 I2C RO 0 VITY 控制器的 Master 功能处于非活动状态 1: Master FSM 处于非 Idle 状态, 此时 I2C 控制器的 Master 功能处于活动状 态 接收 FIFO 全满标志 当接收 FIFO 全满 时置位 ;FIFO 中有一个或一个以上为空 [4] RFF RO 0 时 0 0: 接收 FIFO 未满 1: 接收 FIFO 全满 接收 FIFO 不为空标志 当接收 FIFO 不 [3] RFNE RO 0 为空时置位, 为空时清 0 0: 接收 FIFO 为空 1: 接收 FIFO 不为空 发送 FIFO 全空标志 发送 FIFO 全空时 置位 ; 发送 FIFO 有一个或一个以上不 [2] TFE RO 0x1 为空的值时清 0 此标志的产生不伴随有中断发生 0: 发送 FIFO 不为空 1: 发送 FIFO 为空 发送 FIFO 未满标志 发送 FIFO 中有一 个或一个以上位置为空时置位 ; 发送 [1] TFNF RO 0x1 FIFO 满时清 0 0: 发送 FIFO 已满 1: 发送 FIFO 未满 [0] ACTIVITY RO 0 I2C 控制器活动状态标志 3.8 GPIO 接口 芯片包含了 32 个 GPIO 端口, 分成 4 组, 分别是 GPIOA[0:7],GPIOB[0:7],GPIOC[0:7],GPIOD[0:7] 其中有部分 GPIO 端口是复用的, 详细复用情况见表 3-49 如果要选择 GPIO 功能, 设置 REG_CRU_SEL_GPIO(0x28100C00) 寄存器的值为 0x0 GPIO 端口可通过软件分别配置成输入或输出 32

37 3.8.1 GPIO 复用说明 GPIO 复用寄存器地址 表 3-47 GPIO 复用寄存器地址 名称 基地址 GPIO 复用控制寄存器 0x2810_0C GPIO 复用寄存器描述 表 3-48 GPIO 复用寄存器描述 位 名称 读写类型 初值 说明 [5:0] 每一位控制一组 GPIO 端口的复用 : [0] 位控制 cru_sel_uart_func,1 选择此功能,0 选择 GPIO 功能 [1] 位控制 cru_sel_lpc_func0,1 选择此功能,0 选择 GPIO 功能 [2] 位控制 cru_sel_lpc_func1,1 选择 GPIO 复用控制此功能,0 选择 GPIO 功能 RW 0x10 位 [3] 位控制 cru_sel_spics,1 选择此功 能,0 选择 GPIO 功能 [4] 位控制 cru_sel_rst_state,1 选择 此功能,0 选择 GPIO 功能 [5] 位控制 GMAC 配置信号 cru_gmu_cfg_cttw,1 选择此功能,0 选择 GPIO 功能 当选择某种复用功能后, 对应的 GPIO 端口说明如表 3-49 所示 例如选择了 cru_sel_lpc_func0, 和 cru_sel_lpc_func1, 那么对应的 GPIOB[7], GPIO[6:7],GPIOD[0:7] 被复用成 LPC 端口 表 3-49 GPIO 复用寄存器说明 控制线 GPIO 复用功能 cru_sel_xxx=0 cru_sel_xxx=1 PortC[0] UART0.CD PortC[1] UART0.DTR CRU_SEL_UART_FUNC PortC[2] UART0.DSR PortC[3] UART0.RTS PortC[4] UART0.CTS PortC[5] UART0.RI PortC[6] LPC.irq_outen CRU_SEL_LPC_FUNC0 PortC[7] LPC.irq_n PortD[0] LPC.lframe_n 33

38 PortD[1] LPC.lreset_n PortD[2] LPC.lck PortD[3] LPC.lad_outen PortD[4] LPC.lad[0] PortD[5] LPC.lad[1] PortD[6] LPC.lad[2] PortD[7] LPC.lad[3] CRU_SEL_LPC_FUNC1 PortB[7] LPC.ldrq_n PortA[0] clk_obv_sel[0] PortA[1] clk_obv_sel[1] PortA[2] clk_obv_sel[2] PortA[3] clk_obv_sel[3] CRU_SEL_RST_STATE PortA[4] rst_fsm[0] PortA[5] rst_fsm[1] PortA[6] rst_fsm[2] PortA[7] rst_fsm[3] PortA_Dir_0 rst_fsm[4] PortB_Dir_0 cru_clk_obv CRU_SEL_SPICS PortB[0] spi_csn[2] PortB[1] spi_csn[3] CRU_SEL_LINKUP1 PortB[2] peu_linkup[1] CRU_SEL_LINKUP2 PortB[3] peu_linkup[2] CRU_SEL_LINKUP3 PortB[4] peu_linkup[3] GPIO 寄存器说明 基地址 名称 GPIO 表 3-50 GPIO 基地址 基地址 0x2800_ 寄存器列表 偏移地址 名称 读写类型 0x00 GPIOA_DR R/W 0x04 GPIOA_DDR R/W 表 3-51 GPIO 内部寄存器描述说明端口 A 数据寄存器 r 位宽 : GPIO_PWIDTH_A 初值 : GPIO_SWPORTA_RESET 端口 A 数据方向寄存器位宽 : GPIO_PWIDTH_A 初值 : GPIO_DFLT_DIR_A (for all bits) 34

39 0x0c GPIOB_DR R/W 0x10 GPIOB_DDR R/W 0x18 GPIOC_DR R/W 0x1c GPIOC_DDR R/W 0x24 GPIOD_DR R/W 0x28 GPIOD_DDR R/W 0x50 GPIO_EXT_PO RTA R 0x54 GPIO_EXT_PO RTB R 0x58 GPIO_EXT_PO RTC R 0x5c GPIO_EXT_PO RTD R 端口 B 数据寄存器位宽 : GPIO_PWIDTH_B 初值 : GPIO_SWPORTB_RESET 端口 B 数据方向寄存器数据位宽 : GPIO_PWIDTH_B 初值 : GPIO_DFLT_DIR_B (for all bits) 端口 C 数据寄存器位宽 : GPIO_PWIDTH_C 初值 : GPIO_SWPORTC_RESET 端口 C 数据方向寄存器位宽 : GPIO_PWIDTH_C 初值 : GPIO_DFLT_DIR_C (for all bits) 端口 D 数据寄存器位宽 : GPIO_PWIDTH_D 初值 : GPIO_SWPORTD_RESET 端口 D 数据方向寄存器位宽 : GPIO_PWIDTH_D 初值 : GPIO_DFLT_DIR_D (for all bits) 端口 A 外部端口寄存器位宽 : GPIO_PWIDTH_A 初值 : 0x0 端口 B 外部端口寄存器位宽 : GPIO_PWIDTH_B 初值 : 0x0 端口 C 外部端口寄存器位宽 : GPIO_PWIDTH_C 初值 : 0x0 端口 D 外部端口寄存器位宽 : GPIO_PWIDTH_D 初值 : 0x0 注 : 当 GPIO 的端口被设置为输入时, 需要从 GPIO_EXT_PORTX 中读取输入的数据 GPIO_PWIDTH_X=8;GPIO_SWPORTX_RESET=??; GPIO_DFLT_DIR_X=?? 其中 X 表示 A/B/C/D 本章内名称以此类推 数据寄存器 GPIOX_DR 表 3-52 数据寄存器 (GPIOX_DR) 位 名称 读写类型 初值 说明 [31:GPI O_PWIDT H_X] 保留 RW - [GPIO_P 端口 X 数 GPIO_SWPORT 如果端口 X 数据方向寄存器中对应位设 RW WIDTH_X 据寄存器 X_RESET 置为输出模式, 并且端口 X 的对应控制 35

40 1:0] 位设置为软件控制, 则向这个寄存器写的值是将要通过端口 X 对应的 I/O 引脚输出的信号值 方向寄存器 GPIOX_DDR 表 3-53 方向寄存器 (GPIOX_DDR) 位 名称 读写类型 初值 说明 [31:GPI O_PWIDT H_X] - - 向这个寄存器写的值每一位分别控制端 口 X 对应位的输入输出模式 可以通过 [GPIO_P 端口 X 方 GPIO_DFLT_D GPIO_DFLT_DIR_X 参数设置系统复位后 WIDTH_X RW 向寄存器 IR_X 的默认方式为输入或输出 1:0] 0 输入 ( 默认 ); 1 输出 ; 外部数据寄存器 GPIO_EXT_PORTX 表 3-54 外部数据寄存器 (GPIO_EXT_PORTX) 位 名称 读写类型 初值 说明 [31:GPI - O_PWIDT H_X] 当端口 X 设置为输入时, 从这个位置读 [GPIO_P 外部端口取的值为端口 X 上输入的信号状态 当 WIDTH_X RO 0 X 端口 X 设置为输出时, 从这个位置读取 1:0] 的值为通过端口 X 输出的信号值 3.9 上电时序 信号名 PWR_BTN_EN ATX_EN ATX_GD VDDIO_EN VDDIO_GD _EN _GD VDDA_PCIE_EN VDDA_PCIE_GD 表 3-55 上电复位信号列表说明上电按钮, 低电平有效 ATX 电源加电信号, 低电平有效 ATX 电源加电完成信号 1.8V IO 电源加电信号, 低电平有效 1.8V IO 电源加电信号加电完成信号 CPU 核心电源加电信号 CPU 核心电源加电完成信号 PCIE 0.95V 核心电源加电信号, 低电平有效 PCIE 0.95V 核心电源加电完成信号 36

41 VDD_MCU_EN VDD_MCU_GD RESET_N POR_N PCIE_SLOT_RST_N 1.5V DDR 电源加电信号, 低电平有效 1.5V DDR 电源加电完成信号热复位信号, 低有效上电复位信号, 低有效所有 PCIE 插槽端的复位信号, 信号数目根据 PCIE 设备而定 PWR_BIN_EN ATX_EN ATX_GD VDDIO_EN VDDIO_GD _EN _GD VDDA_PCIE_EN VDDA_PCIE_GD VDDQ_MCU_EN VDDQ_MCU_GD RESET_N POR_N PCIE_SLOT_RST_N T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 图 3-1 上电复位参考时序图 时序说明 : 时刻 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 表 3-44 上电复位参考时序说明说明作为上电复位控制器的 CPLD 或 FPGA 加电并完成复位加电按钮按下加电按钮弹起,ATX 电源加电收到 ATX 加电完成信号, 拉低 PCIE_SLOT_RST 相对 T3 时刻约延时约 20ms, 给 1.8V IO 电源加电收到加电完成信号相对 T5 时刻延时 20ms, 电源上电收到上电完成信号相对 T7 时刻延时 10ms, 给 PCIE 0.95V 核心电源加电收到上电完成信号, 给 VDDQ_MCU 1.5V 电源加电收到上电完成信号, 保持 REST_N 信号高电平, 拉低 POR_N 开始上电复位相对 T9 时刻延时 40ms, 拉高 POR_N, 完成上电复位 37

42 T12 相对 T9 时刻延时 100ms, 拉高 PCIE_SLOT_RST_N, 完成 PCIE 设备复位 38

43 4 电气特性 4.1 极限工作条件 a) 内核电压范围 (VDD_0) -0.3V~1.10V b) 0.75V 参考电压范围 (VDD_075) -0.3V~1.5V c) 0.95V IO 电压范围 (VDD_095) -0.3V~1.5V d) 1.5V IO 电压范围 (VDD_15). -0.3V~1.8V e) 1.8V IO 电压范围 (VDD_18) V~2.0V 4.2 典型工作参数 表 4-1 典型工作参数 参数 符号 条件 最小值 典型值 最大值 单位 内核电源 0.9 V MCU 电源 VDDQ_MCUxx 1.5 V PCIE 模拟部分电源 VDDA_PCIE 0.95 V PCIE 数字部分电源 VDDHV_PCIE 1.5 V IO 电源 VDDIO 1.8 V 锁相环电源 VDDHV_PLLx 1.8 V 高电平输入电压 VIH 1.26 V 低电平输入电压 VIL 0.54 V 内核电源电流 I 1.5GHz,0.9V 20 A MCU 电源电流 IVDDQ_MCUxx 800MHz,1.5V 3 A PCIE 模拟部分电源电流 IVDDA_PCIE 8Gbps,0.95V 3 A PCIE 数字部分电源电流 IVDDHV_PCIE 8Gbps,1.5V 2 A IO 电源电流 IVDDIO 1 A 锁相环电源电流 IVDDHV_PLLx 0.1 A 高电平输出电流 IOH ma 低电平输出电流 IOL ma a) 内核电压 (VDD_0) 0.9 (1±5%)V b) 0.75V 参考电压 (VDD_075) (1±5%)V c) 0.95V IO 电压 (VDD_095) (1±5%)V d) 1.5V IO 电压 (VDD_15). 1.5 (1±5%)V e) 1.8V IO 电压 (VDD_18). 1.8 (1±5%)V 39

44 5 封装数据 5.1 封装尺寸 符号名称最小公称最大 总厚度 球高度 外壳尺寸 球间距 1.00 图 5-1 CPU 封装尺寸 40

45 6 装焊温度曲线 如果采用 Sn10Pb90 作为 BGA 焊球, 则焊接时即可采用无铅焊膏, 也可采用含铅焊膏 (Sn63Pb37) 如果采用无铅 BGA 焊球, 则焊接时必须采用无铅焊膏 如果同一块板上既有含铅器件又有无铅器件, 焊接时也必须采用无铅焊膏 6.1 无铅焊接温度曲线中各温区的作用 采用德国 ERSA 公司制造的 Hotflow11 回流焊炉和 Sensor Shuttle 温度传感器进行测试点温度 采集, 最终得到一条如图 6-1 所示的适应于无铅焊料的温度曲线 图 6-1 无铅回流焊接曲线 该温度曲线分为五个部分 : (1) 第一升温区 : 将 PCB 及元器件从室温加热到 150 在这个区, 由于受基板材料与元件的限制,PCB 及元器件应以 1~3 /Sec 的速率连续上升, 最理想为接近 2 /Sec, 温度升得太快, 会对元件造成热冲击或导致 PCB 变形 此时焊膏中的溶剂 气体开始蒸发, 同时, 焊膏中的助焊剂润湿焊盘 元器件端子和引脚, 焊膏软化 塌落 覆盖焊盘 元器件端子和引脚并与氧气隔离 整个升温过程持续 40Sec 左右 (2) 预热区, 又称保温区 : 温度从 150 上升到 180,PCB 和元器件得到充分的预热, 以防突然进入焊接高温区而损坏 PCB 和元器件, 保温区热风温度不变,PCB 和元器件依靠传热温度自然升高 41

46 30 左右, 它的主要功能是提供足够的热能, 令焊膏中的助焊剂开始活化, 将金属氧化物和某些污染从焊盘 元件引脚和焊膏金属颗粒上清除, 与此同时, 挥发性的溶剂和水汽从焊膏中挥发 整个过程持续 90~120Sec( 因不同种类焊膏而异 ) 预热时间不足或过长皆都会导致后期焊锡球的产生 (3) 第二升温区 : 温度从 180 上升到无铅焊料的熔点 217 以上, 这个区是助焊剂活动的高峰期, 于焊接前做最后的氧化分解, 一般时间 20~30Sec, 尽量靠近 20Sec 时间过长会使助焊剂中的松香过早耗尽引起再氧化, 令焊接不良或产生焊锡球 (4) 焊接区 : 温度从 220 至峰值温度再回到 220, 升温速率 2~3 /Sec 在这个区焊膏中的金属颗粒首先单独熔化, 并覆盖在金属表面上 当单个的金属颗粒全部熔化后, 液态焊锡对 PCB 的焊盘 元器件端头和引脚润湿 扩散 漫流或回流混合形成焊锡接点 峰值温度的设定一般为焊膏熔点加上 30 这个区域的时间为一般为 30~60s( 实际焊接时最好 60s~90s), 视元件大小不同而不同 假如这个区的温度设得太高, 会使温升速率超过 2~3 /Sec, 或达到的峰值温度比理想的高, 会引起 PCB 的过分变形, 并损坏元器件 (5) 冷却区 : 焊料凝固, 形成平滑光亮的焊点 冷却速率 4~5 /Sec, 较快的冷却速率可得到较细的颗粒结构和较强的焊接强度与较亮的焊点 但太快会引起元件内部的热应力 6.2 有铅焊接温度曲线中各温区的作用 以下是日本千住 (SENJU) 公司有铅焊膏推荐使用的温度曲线 42

47 图 6-1 温度曲线各温区的作用与有铅焊接类似, 主要是焊接区的时间一般为 30~60s( 实际焊接时最好 60s~ 90s) 7 引脚描述 7.1 通用 IO 类引脚 (118 PIN) 表 7-1 通用 IO 类引脚 信号名 引脚信号片内上正常运行连功能说明编号类型下拉接方式 AA64NAA32 AY53 I up 1 b0: 启动直接进入 AArch32 模式 1 b1: 启动直接进入 AArch64 模式 SHUTDOWN AY52 O 1 b1: 通知片外 CPLD,CPU 可以关电 CLK_REF AC46 I Down 参考时钟, 默认 50MHz RESET_N AV52 I Up 热复位信号, 低有效 POR_N AW52 I Up 上电复位信号, 低有效 RSV1 AD46 I 保留引脚 接地 RSV2 AC47 I 保留引脚 接地 RSV3 AB47 I 保留引脚 接地 RSV4 AF57 I 保留引脚 接地 RSV5 AE53 I 保留引脚 接地 RSV6 AE55 O 保留引脚 浮空 CRU_DTI_RST_OK AE46 O 复位完成信号 CRU_I2C_SDA AF56 B Up 带外诊断专用 I2C 接口 CRU_I2C_SCL AF55 I Up 带外诊断专用 I2C 接口 ERROR_INT AV51 O 错误中断输出, 用于带外诊断 RSV7 AE52 I 保留引脚 接地 RSV8 AW51 I 保留引脚 接地 RSV9 AY51 I 保留引脚 接地 RSV10 AF52 I 保留引脚 接地 RSV11 AG55 I 保留引脚 接地 RSV12 AG56 I 保留引脚 接地 RSV13 AG57 I 保留引脚 接地 RSV14 AH57 O 保留引脚 浮空 RSV15 AH49 I 保留引脚 接地 RSV16 AJ52 I 保留引脚 接地 RSV17 AH50 I 保留引脚 接地 RSV18 AG53 I 保留引脚 接地 RSV19 AK51 I 保留引脚 接地 43

48 RSV20 AH53 I 保留引脚 接地 RSV21 AB49 I 保留引脚 接地 RSV22 AF49 I 保留引脚 接地 RSV23 AG49 I 保留引脚 接地 RSV24 AH52 I 保留引脚 接地 RSV25 AG52 I 保留引脚 接地 RSV26 AE47 I 保留引脚 接地 RSV27 AG46 I 保留引脚 接地 RSV28 AJ57 I 保留引脚 接地 RSV29 AH55 I 保留引脚 接地 RSV30 AH56 O 保留引脚 浮空 RSV31 AF46 O 保留引脚 浮空 RSV32 AJ56 I 保留引脚 接地 RSV33 AJ49 O 保留引脚 浮空 RSV34 AF53 O 保留引脚 浮空 MCU0_I2C_SCL AY50 MCU0 的 I2C 接口信号, 用于读取 DIMM 的 SPD MCU0_I2C_SDA AW50 MCU0 的 I2C 接口信号, 用于读取 DIMM 的 SPD MCU1_I2C_SCL A52 MCU0 的 I2C 接口信号, 用于读取 DIMM 的 SPD MCU1_I2C_SDA B52 MCU0 的 I2C 接口信号, 用于读取 DIMM 的 SPD UART0_SIN AV55 I up 串口 0 数据输入 UART0_SOUT AV56 O 串口 0 数据输出 UART1_SIN AW55 I up 串口 1 数据输入 UART1_SOUT AW56 O 串口 1 数据输出 GPIOC0_UART0_CD AT55 B up GPIO C 第 0 位输入输出 ; 串口 0 Data Carrier 检测 Modem 状态输入信号 GPIOC1_UART0_DTR AU57 B up GPIO C 第 1 位输入输出 ; 串口 0 Modem Control 数据终端 ready 输出信号 GPIOC2_UART0_DSR AU55 B up GPIO C 第 2 位输入输出 ; 串口 0 Data Set Ready Modem 状态输入信号 GPIOC3_UART0_RTS AT56 B up GPIO C 第 3 位输入输出 ; 串口 0 Modem Control 发送请求输出信号 GPIOC4_UART0_CTS AU56 B up GPIO C 第 4 位输入输出 ; 清除发送 Modem 状态输入信号 GPIOC5_UART0_RI AV57 B up GPIOC5 输入输出 ;Ring Indicator Modem 状态输入信号 I2C0_SCL AJ55 B up I2C 0 接口的时钟信号 I2C0_SDA AK57 B up I2C 0 接口的数据信号 I2C1_SCL AK56 B up I2C 1 接口的时钟信号 I2C1_SDA AK55 B up I2C 1 接口的数据信号 SPI_EXT_CSN0 AL55 O SPI 接口 0 号片选 SPI_EXT_CSN1 AL56 O SPI 接口 1 号片选 SPI_EXT_SCK AL57 O SPI 接口时钟信号 SPI_EXT_SO AM56 O SPI 接口数据输出信号 EXT_SPI_SI AM57 I down SPI 接口数据输入信号 44

49 GPIOC6_LPC_EXT_IRQ_OUTE N AR56 B up GPIO C 第 6 位输入输出 ; GPIOC7_LPC_IRQ AR55 B up GPIO C 第 7 位输入输出 ; GPIOD0_LPC_EXT_LFRAME AN56 B up GPIO D 第 0 位输入输出 ; GPIOD1_EXT_LPC_LRESET AM55 B up GPIO D 第 1 位输入输出 ; GPIOD2_EXT_LPC_LCK AN57 B up GPIO D 第 2 位输入输出 ; GPIOD3_LPC_EXT_LAD_OUTE N AN55 B up GPIO D 第 3 位输入输出 ; GPIOD4_LPC_LAD0 AP57 B up GPIO D 第 4 位输入输出 ; GPIOD5_LPC_LAD1 AP56 B up GPIO D 第 5 位输入输出 ; GPIOD6_LPC_LAD2 AP55 B up GPIO D 第 6 位输入输出 ; GPIOD7_LPC_LAD3 AR57 B up GPIO D 第 7 位输入输出 ; GPIOB7_EXT_LPC_LDRQ AT57 B up GPIO B 第 7 位输入输出 ; GPIOA0_CLK_OBV_SEL0 AE57 B up GPIO A 第 0 位输入输出 ; 时钟观测选择信号的输入信号 0 GPIOA1_CLK_OBV_SEL1 AD52 B up GPIO A 第 1 位输入输出 ; 时钟观测选择信号的输入信号 1 GPIOA2_CLK_OBV_SEL2 AD56 B up GPIO A 第 2 位输入输出 ; 时钟观测选择信号的输入信号 2 GPIOA3_CLK_OBV_SEL3 AC53 B up GPIO A 第 3 位输入输出 ; 时钟观测选择信号的输入信号 3 GPIOA4_RST_FSM0 AC55 B up GPIO A 第 4 位输入输出 ; 复位状态机输出信号 0 GPIOA5_RST_FSM1 AC57 B up GPIO A 第 5 位输入输出 ; 复位状态机输出信号 1 GPIOA6_RST_FSM2 AB52 B up GPIO A 第 6 位输入输出 ; 复位状态机输出信号 2 GPIOA7_RST_FSM3 AB56 B up GPIO A 第 7 位输入输出 ; 复位状态机输出信号 3 GPIOB0_SPI_EXT_CSN2 AE56 B up GPIO B 第 0 位输入输出 ;SPI 接口 2 号片选 GPIOB1_SPI_EXT_CSN3 AD53 B up GPIO B 第 1 位输入输出 ;SPI 接口 3 号片选 GPIOB2_PEU_LINKUP1 AD55 B up GPIO B 第 2 位输入输出 ;PCIE linkup 输出信号,PCIE 连接上后, 输出低电平 GPIOB3_PEU_LINKUP2 AD57 B up GPIO B 第 3 位输入输出 ;PCIE linkup 输出信号,PCIE 连接上后, 输出低电平 GPIOB4_PEU_LINKUP3 AC52 B up GPIO B 第 4 位输入输出 ;PCIE linkup 输出信号,PCIE 连接上后, 输出低电平 GPIOB5 AC56 B up GPIO B 第 5 位输入输出 ; GPIOB6 AB53 B up GPIO B 第 6 位输入输出 ; GPIOA_DDR_RST_FSM4 AB57 O GPIO A 数据方向 ; 复位状态机输出信号 4 GPIOB_DDR_CRU_LK_OBV AB55 O GPIO B 数据方向 ;CRU 观测时钟输出信号 PCIE_LINKUP AU51 PCIE 控制器 0 的 Linkup 信号,PCIE 连接上后, 输出低电平 RSV35 AC49 保留引脚 浮空 45

50 RSV36 AC50 保留引脚 浮空 RSV37 AD49 保留引脚 浮空 RSV38 AD50 保留引脚 浮空 RSV39 AE50 保留引脚 浮空 RSV40 AB48 I 保留引脚 接地 RSV41 AB50 I 保留引脚 接 VDD_IO RSV42 AB51 I 保留引脚 接地 RSV43 AD47 I 保留引脚 接地 RSV44 AG50 I 保留引脚 接地 RSV45 AF50 I 保留引脚 接地 RSV46 AJ53 I 保留引脚 接地 RSV47 AJ50 I 保留引脚 接地 RSV48 AF47 I 保留引脚 接地 RSV49 AG47 I 保留引脚 接地 RSV50 AH48 I 保留引脚 接地 RSV51 AE49 I 保留引脚 接地 RSV52 AU54 I 保留引脚 接地 RSV53 AY54 O 保留引脚 浮空 RSV54 AV53 O 保留引脚 浮空 RSV55 AW53 O 保留引脚 浮空 RSV56 AW54 O 保留引脚 浮空 RSV57 AV54 O 保留引脚 浮空 注 : (1) 信号类型 :I 输入引脚,O 输出引脚,B 双向引脚,Z 三态或高阻引脚,A 模拟信号脚,GND 地,P 电源引脚,RSV 保留引脚,NC 空引脚 ; (2) 片内上下拉 :Up 片内上拉,down 片内下拉 上述引脚中存在功能复用情况, 具体的复用及控制信号如表 7-2 控制线 cru_sel_uart_func cru_sel_lpc_func0 表 7-2 通用 IO 类引脚的功能复用情况 功能 0 功能 1 cru_sel_xxx=0 cru_sel_xxx=1 GPIOC0 UART0.CD GPIOC1 UART0.DTR GPIOC2 UART0.DSR GPIOC3 UART0.RTS GPIOC4 UART0.CTS GPIOC5 UART0.RI GPIOC6 LPC.irq_outen GPIOC7 LPC.irq_n GPIOD0 LPC.lframe_n 46

51 GPIOD2 LPC.lck LPC.lad_outen, 指示 LAD 的方向, 用于控制电平 GPIOD3 转换芯片的转换方向 GPIOD4 LPC.lad[0] GPIOD5 LPC.lad[1] GPIOD6 LPC.lad[2] GPIOD7 LPC.lad[3] cru_sel_lpc_func1 GPIOB7 LPC.ldrq_n GPIOA0 clk_obv_sel[0], 选择被观察时钟的选择信号 GPIOA1 clk_obv_sel[1], 选择被观察时钟的选择信号 GPIOA2 clk_obv_sel[2], 选择被观察时钟的选择信号 GPIOA3 clk_obv_sel[3], 选择被观察时钟的选择信号 rst_fsm[0], 复位状态机输出, 用于观察复位状 GPIOA4 态 rst_fsm[1], 复位状态机输出, 用于观察复位状 GPIOA5 态 cru_sel_rst_state rst_fsm[2], 复位状态机输出, 用于观察复位状 GPIOA6 态 rst_fsm[3], 复位状态机输出, 用于观察复位状 GPIOA7 态 GPIOA_DDR,GPIOA 的 bit0 的方 向控制脚的输出, 一般用于控制 rst_fsm[4], 复位状态机输出, 用于观察复位状 电平转换芯片的 Dir 接口 态 GPIOB_DDR,GPIOB 的 bit0 的方 向控制脚的输出, 一般用于控制 电平转换芯片的 Dir 接口 cru_clk_obv, 被选择输出的观察时钟 cru_sel_spics GPIOB0 spi_csn[2],spi Flash 接口的片选 2 GPIOB1 spi_csn[3],spi Flash 接口的片选 3 cru_sel_linkup_1 GPIOB2 peu_linkup[1],pcie 1 号控制器的 linkup 指示 cru_sel_linkup_2 GPIOB3 peu_linkup[2],pcie 2 号控制器的 linkup 指示 cru_sel_linkup_3 GPIOB4 peu_linkup[3],pcie 3 号控制器的 linkup 指示 注意 : 复位完成后,cru_sel_rst_state 的值为 1, 即选择的是功能 1 而不是功能 0, 如果要使 用功能 0, 则需要通过指令将 CRU 部件中的 cru_sel_rst_state 控制位置位为 0 其他复用控制信号 cru_sel_xxx 的复位后默认值则是 0, 即默认选择功能 GMAC 引脚 (56 PIN) 表 7-3 GMAC 引脚 信号名 引脚编信号类片内上下号型拉 功能说明 GMU_CLK_OSC P54 I 输入 osc 时钟 G0_CLK_GTX K56 O GMAC0 向 PHY 输出 TX 时钟 47

52 G0_CLK_RX P56 I GMAC0 输入 RX 时钟 G0_CLK_TX P57 I GMAC0 输入 TX 时钟 G0_COL N53 I GMAC0 PHY 冲突检测信号 G0_CRS P53 I GMAC0 PHY 发送接收非 IDLE 状态 G0_MDC P52 O GMAC0 管理接口时钟 G0_MDIO N54 B down GMAC0 管理接口输入输出数据 G0_RX0 N56 I GMAC0 数据输入 bit0 G0_RX1 N55 I GMAC0 数据输入 bit1 G0_RX2 M57 I GMAC0 数据输入 bit2 G0_RX3 M56 I GMAC0 数据输入 bit3 G0_RX4 M55 I GMAC0 数据输入 bit4 G0_RX5 L57 I GMAC0 数据输入 bit5 G0_RX6 L56 I GMAC0 数据输入 bit6 G0_RX7 L55 I GMAC0 数据输入 bit7 G0_RXDV N57 I GMAC0 数据输入有效 G0_RXER P55 I GMAC0 接收 Error G0_TX0 G56 O GMAC0 数据输出 bit G0_TX1 G57 O GMAC0 数据输出 bit G0_TX2 H55 O GMAC0 数据输出 bit G0_TX3 H56 O GMAC0 数据输出 bit G0_TX4 H57 O GMAC0 数据输出 bit G0_TX5 J55 O GMAC0 数据输出 bit G0_TX6 J56 O GMAC0 数据输出 bit G0_TX7 J57 O GMAC0 数据输出 bit G0_TXEN K57 O GMAC0 数据输出有效 G0_TXER K55 O GMAC0 发送 Error G1_CLK_GTX B56 O GMAC0 向 PHY 输出 TX 时钟 G1_CLK_RX F57 I GMAC0 输入 RX 时钟 G1_CLK_TX G55 I GMAC0 输入 TX 时钟 G1_COL N52 I GMAC0 PHY 冲突检测信号 G1_CRS M52 I GMAC0 PHY 发送接收非 IDLE 状态 G1_MDC M53 O GMAC0 管理接口时钟 G1_MDIO M54 B down GMAC0 管理接口输入输出数据 G1_RX0 E57 I GMAC0 数据输入 bit0 G1_RX1 E56 I GMAC0 数据输入 bit1 G1_RX2 E55 I GMAC0 数据输入 bit2 G1_RX3 D57 I GMAC0 数据输入 bit3 G1_RX4 D56 I GMAC0 数据输入 bit4 G1_RX5 D55 I GMAC0 数据输入 bit5 G1_RX6 C57 I GMAC0 数据输入 bit6 G1_RX7 C56 I GMAC0 数据输入 bit7 G1_RXDV F55 I GMAC0 数据输入有效 G1_RXER F56 I GMAC0 接收 Error G1_TX0 C52 O GMAC0 数据输出 bit 48

53 G1_TX1 A53 O GMAC0 数据输出 bit G1_TX2 B53 O GMAC0 数据输出 bit G1_TX3 C53 O GMAC0 数据输出 bit G1_TX4 A54 O GMAC0 数据输出 bit G1_TX5 B54 O GMAC0 数据输出 bit G1_TX6 C54 O GMAC0 数据输出 bit G1_TX7 A55 O GMAC0 数据输出 bit G1_TXEN C55 O GMAC0 数据输出有效 G1_TXER B55 O GMAC0 发送 Error 注 : (1) 信号类型 :I 输入引脚,O 输出引脚,Z 三态或高阻引脚,A 模拟信号脚,GND 地,P 电源引脚,RSV 保留引脚,NC 空引脚 ; (2) 片内上下拉 :Up 片内上拉,Down 片内下拉, 空白为既无上拉也无下拉 7.3 PCIE 引脚 (165 PIN) 表 7-4 PCIE 引脚 信号名 引脚编号 信号类型 片内上下拉 功能说明 PCIE0_CLKN D2 I 100MHz 差分时钟输入 PCIE0_CLKP D1 I PCIE0_AMON0 K9 A PCIE0_AMON1 K10 A 调试观察接口, 正常模式浮空处理 PCIE0_AMON2 E8 A PCIE0_AMON3 D10 A PCIE0_DMON0 Y9 A PCIE0_DMON1 V10 A PCIE0_DMON2 T10 A PCIE0_DMON3 P10 A PCIE0_DMONB0 Y10 A PCIE0_DMONB1 V9 A PCIE0_DMONB2 T9 A PCIE0_DMONB3 P9 A PCIE0_RBIAS0 M9 A 参考电阻偏置,1K 欧姆 1% 精度电阻与地连 PCIE0_RBIAS1 G10 A 接 PCIE0_RBIAS2 E7 A PCIE0_RBIAS3 D9 A PCIE0_RX00N AA3 I 输入链路差分信号 PCIE0_RX00P AA4 I PCIE0_RX01N Y1 I PCIE0_RX01P Y2 I PCIE0_RX02N W3 I 49

54 PCIE0_RX02P W4 I PCIE0_RX03N V1 I PCIE0_RX03P V2 I PCIE0_RX04N U3 I PCIE0_RX04P U4 I PCIE0_RX05N T1 I PCIE0_RX05P T2 I PCIE0_RX06N R3 I PCIE0_RX06P R4 I PCIE0_RX07N P1 I PCIE0_RX07P P2 I PCIE0_RX08N N3 I PCIE0_RX08P N4 I PCIE0_RX09N M1 I PCIE0_RX09P M2 I PCIE0_RX10N L3 I PCIE0_RX10P L4 I PCIE0_RX11N K1 I PCIE0_RX11P K2 I PCIE0_RX12N J3 I PCIE0_RX12P J4 I PCIE0_RX13N H1 I PCIE0_RX13P H2 I PCIE0_RX14N G3 I PCIE0_RX14P G4 I PCIE0_RX15N F1 I PCIE0_RX15P F2 I PCIE0_TX00N AA7 O 输出链路差分信号 PCIE0_TX00P AA8 O PCIE0_TX01N Y5 O PCIE0_TX01P Y6 O PCIE0_TX02N W7 O PCIE0_TX02P W8 O PCIE0_TX03N V5 O PCIE0_TX03P V6 O PCIE0_TX04N U7 O PCIE0_TX04P U8 O PCIE0_TX05N T5 O PCIE0_TX05P T6 O PCIE0_TX06N R7 O PCIE0_TX06P R8 O PCIE0_TX07N P5 O PCIE0_TX07P P6 O PCIE0_TX08N N7 O 50

55 PCIE0_TX08P N8 O PCIE0_TX09N M5 O PCIE0_TX09P M6 O PCIE0_TX10N L7 O PCIE0_TX10P L8 O PCIE0_TX11N K5 O PCIE0_TX11P K6 O PCIE0_TX12N J7 O PCIE0_TX12P J8 O PCIE0_TX13N H5 O PCIE0_TX13P H6 O PCIE0_TX14N G7 O PCIE0_TX14P G8 O PCIE0_TX15N F5 O PCIE0_TX15P F6 O PCIE1_CLKN E4 I 100MHz 差分时钟输入 PCIE1_CLKP E3 I PCIE1_AMON0 H9 A 调试观察接口, 正常运行模式下浮空处理即 PCIE1_AMON1 D6 A PCIE1_AMON2 AL10 A PCIE1_AMON3 AP9 A PCIE1_DMON0 AB10 A PCIE1_DMON1 AD10 A PCIE1_DMON2 AF10 A PCIE1_DMON3 AH10 A PCIE1_DMONB0 AB9 A PCIE1_DMONB1 AD9 A PCIE1_DMONB2 AF9 A PCIE1_DMONB3 AH9 A PCIE1_RBIAS0 F9 A 参考电阻偏置,1K 欧姆 1% 精度电阻与地连 PCIE1_RBIAS1 D5 A PCIE1_RBIAS2 AK9 A PCIE1_RBIAS3 AM9 A PCIE1_RX00N AB1 I 输入链路差分信号 PCIE1_RX00P AB2 I PCIE1_RX01N AC3 I PCIE1_RX01P AC4 I PCIE1_RX02N AD1 I PCIE1_RX02P AD2 I PCIE1_RX03N AE3 I PCIE1_RX03P AE4 I PCIE1_RX04N AF1 I PCIE1_RX04P AF2 I 可 接 51

56 PCIE1_RX05N AG3 I PCIE1_RX05P AG4 I PCIE1_RX06N AH1 I PCIE1_RX06P AH2 I PCIE1_RX07N AJ3 I PCIE1_RX07P AJ4 I PCIE1_RX08N AK1 I PCIE1_RX08P AK2 I PCIE1_RX09N AL3 I PCIE1_RX09P AL4 I PCIE1_RX10N AM1 I PCIE1_RX10P AM2 I PCIE1_RX11N AN3 I PCIE1_RX11P AN4 I PCIE1_RX12N AP1 I PCIE1_RX12P AP2 I PCIE1_RX13N AR3 I PCIE1_RX13P AR4 I PCIE1_RX14N AT1 I PCIE1_RX14P AT2 I PCIE1_RX15N AU3 I PCIE1_RX15P AU4 I PCIE1_TX00N AB5 O PCIE1_TX00P AB6 O PCIE1_TX01N AC7 O PCIE1_TX01P AC8 O PCIE1_TX02N AD5 O PCIE1_TX02P AD6 O PCIE1_TX03N AE7 O PCIE1_TX03P AE8 O PCIE1_TX04N AF5 O PCIE1_TX04P AF6 O PCIE1_TX05N AG7 O PCIE1_TX05P AG8 O PCIE1_TX06N AH5 O PCIE1_TX06P AH6 O PCIE1_TX07N AJ7 O PCIE1_TX07P AJ8 O PCIE1_TX08N AK5 O PCIE1_TX08P AK6 O PCIE1_TX09N AL7 O PCIE1_TX09P AL8 O PCIE1_TX10N AM5 O PCIE1_TX10P AM6 O 输出链路差分信号 52

57 PCIE1_TX11N AN7 O PCIE1_TX11P AN8 O PCIE1_TX12N AP5 O PCIE1_TX12P AP6 O PCIE1_TX13N AR7 O PCIE1_TX13P AR8 O PCIE1_TX14N AT5 O PCIE1_TX14P AT6 O PCIE1_TX15N AU7 O PCIE1_TX15P AU8 O 注 : (1) 信号类型 :I 输入引脚,O 输出引脚,Z 三态或高阻引脚,A 模拟信号脚,GND 地,P 电源引脚,RSV 保留引脚,NC 空引脚 ; (2) 片内上下拉 :Up 片内上拉,Down 片内下拉, 空白为既无上拉也无下拉 7.4 DDR3 引脚 (624 PIN) 表 7-5 DDR3 存储控制器引脚 信号名 引脚编号 信号类型 片内上下拉 功能说明 M0_A00 AY30 O 通道 0 存储器地址, bit 0 M0_A01 AY27 O 通道 0 存储器地址, bit 1 M0_A02 AW27 O 通道 0 存储器地址, bit 2 M0_A03 AR28 O 通道 0 存储器地址, bit 3 M0_A04 AT28 O 通道 0 存储器地址, bit 4 M0_A05 AR27 O 通道 0 存储器地址, bit 5 M0_A06 AT27 O 通道 0 存储器地址, bit 6 M0_A07 AW26 O 通道 0 存储器地址, bit 7 M0_A08 AV26 O 通道 0 存储器地址, bit 8 M0_A09 AR26 O 通道 0 存储器地址, bit 9 M0_A10 AW31 O 通道 0 存储器地址, bit 10 M0_A11 AT26 O 通道 0 存储器地址, bit 11 M0_A12 AV25 O 通道 0 存储器地址, bit 12 M0_A13 AT34 O 通道 0 存储器地址, bit 13 M0_A14 AR25 O 通道 0 存储器地址, bit 14 M0_A15 AW24 O 通道 0 存储器地址, bit 15 M0_ATB0 AT29 I 通道 0 存储器 ATB0/ 浮空 M0_ATB1 AR29 I 通道 0 存储器 ATB1/ 浮空 M0_BA0 AT32 O 通道 0 存储器地址, bit 0 M0_BA1 AV31 O 通道 0 存储器地址, bit 1 M0_BA2 AT25 O 通道 0 存储器地址, bit 2 M0_CAL_BLK AV32 I 通道 0 存储器 CAL_BLK M0_CAS AR33 O 通道 0 存储器列地址选通 53

58 M0_CKE0 AY24 O 通道 0 存储器时钟使能, cs 0 M0_CKE1 AT24 O 通道 0 存储器时钟使能, cs 1 M0_CKE2 AR24 O 通道 0 存储器时钟使能, cs 2 M0_CKE3 AV23 O 通道 0 存储器时钟使能, cs 3 M0_CLK0N AR30 O 通道 0 存储器时钟 -, cs0 M0_CLK0P AT30 O 通道 0 存储器时钟 +, cs0 M0_CLK1N AV28 O 通道 0 存储器时钟 -, cs1 M0_CLK1P AW28 O 通道 0 存储器时钟 +, cs1 M0_CLK2N AR31 O 通道 0 存储器时钟 -, cs2 M0_CLK2P AT31 O 通道 0 存储器时钟 +, cs2 M0_CLK3N AV29 O 通道 0 存储器时钟 -, cs3 M0_CLK3P AW29 O 通道 0 存储器时钟 +, cs3 M0_CS0 AW32 O 通道 0 存储器片选,cs0 M0_CS1 AR34 O 通道 0 存储器片选,cs1 M0_CS2 AR35 O 通道 0 存储器片选,cs2 M0_CS3 AT35 O 通道 0 存储器片选,cs3 M0_ODT0 AW33 O 通道 0 存储器 ODT, cs0 M0_ODT1 AW34 O 通道 0 存储器 ODT, cs1 M0_ODT2 AY33 O 通道 0 存储器 ODT, cs2 M0_ODT3 AV34 O 通道 0 存储器 ODT, cs3 M0_PAR_ERR AW25 I 通道 0 存储器的奇偶校验结果 M0_PAR_IN AW30 O 通道 0 存储器的奇偶校验值 M0_RAS AR32 O 通道 0 存储器行地址选通 M0_RESET AW23 O 通道 0 存储器复位 M0_WE AT33 O 通道 0 存储器写使能 M0_S0_DMN AV38 I/O 通道 0 存储器数据屏蔽 -,slice0 M0_S0_DMP AW38 I/O 通道 0 存储器数据屏蔽 +,slice0 M0_S0_DQ00 AW37 I/O 通道 0 存储器数据, bit0 M0_S0_DQ01 AW41 I/O 通道 0 存储器数据, bit1 M0_S0_DQ02 AW40 I/O 通道 0 存储器数据, bit2 M0_S0_DQ03 AV41 I/O 通道 0 存储器数据, bit3 M0_S0_DQ04 AV40 I/O 通道 0 存储器数据, bit4 M0_S0_DQ05 AV37 I/O 通道 0 存储器数据, bit5 M0_S0_DQ06 AY36 I/O 通道 0 存储器数据, bit6 M0_S0_DQ07 AW36 I/O 通道 0 存储器数据, bit7 M0_S0_DQSN AY39 I/O 通道 0 存储器数据选通 -,slice0 M0_S0_DQSP AW39 I/O 通道 0 存储器数据选通 +,slice0 M0_S1_DMN AR39 I/O 通道 0 存储器数据屏蔽 -,slice1 M0_S1_DMP AT39 I/O 通道 0 存储器数据屏蔽 +,slice1 M0_S1_DQ08 AT37 I/O 通道 0 存储器数据, bit08 M0_S1_DQ09 AR42 I/O 通道 0 存储器数据, bit09 M0_S1_DQ10 AT41 I/O 通道 0 存储器数据, bit10 M0_S1_DQ11 AR41 I/O 通道 0 存储器数据, bit11 M0_S1_DQ12 AR38 I/O 通道 0 存储器数据, bit12 54

59 M0_S1_DQ13 AT38 I/O 通道 0 存储器数据, bit13 M0_S1_DQ14 AR37 I/O 通道 0 存储器数据, bit14 M0_S1_DQ15 AT42 I/O 通道 0 存储器数据, bit15 M0_S1_DQSN AT40 I/O 通道 0 存储器数据选通 -,slice1 M0_S1_DQSP AR40 I/O 通道 0 存储器数据选通 +,slice1 M0_S2_DMN AW18 I/O 通道 0 存储器数据屏蔽 -,slice2 M0_S2_DMP AY18 I/O 通道 0 存储器数据屏蔽 +,slice2 M0_S2_DQ16 AW17 I/O 通道 0 存储器数据, bit16 M0_S2_DQ17 AW16 I/O 通道 0 存储器数据, bit17 M0_S2_DQ18 AV16 I/O 通道 0 存储器数据, bit18 M0_S2_DQ19 AV17 I/O 通道 0 存储器数据, bit19 M0_S2_DQ20 AY21 I/O 通道 0 存储器数据, bit20 M0_S2_DQ21 AW21 I/O 通道 0 存储器数据, bit21 M0_S2_DQ22 AW20 I/O 通道 0 存储器数据, bit22 M0_S2_DQ23 AV20 I/O 通道 0 存储器数据, bit23 M0_S2_DQSN AW19 I/O 通道 0 存储器数据选通 -,slice2 M0_S2_DQSP AV19 I/O 通道 0 存储器数据选通 +,slice2 M0_S3_DMN AV11 I/O 通道 0 存储器数据屏蔽 -,slice3 M0_S3_DMP AW11 I/O 通道 0 存储器数据屏蔽 +,slice3 M0_S3_DQ24 AW10 I/O 通道 0 存储器数据, bit24 M0_S3_DQ25 AW14 I/O 通道 0 存储器数据, bit25 M0_S3_DQ26 AW13 I/O 通道 0 存储器数据, bit26 M0_S3_DQ27 AV14 I/O 通道 0 存储器数据, bit27 M0_S3_DQ28 AV13 I/O 通道 0 存储器数据, bit28 M0_S3_DQ29 AV10 I/O 通道 0 存储器数据, bit29 M0_S3_DQ30 AY9 I/O 通道 0 存储器数据, bit30 M0_S3_DQ31 AW9 I/O 通道 0 存储器数据, bit31 M0_S3_DQSN AY12 I/O 通道 0 存储器数据选通 -,slice3 M0_S3_DQSP AW12 I/O 通道 0 存储器数据选通 +,slice3 M0_S4_DMN AW4 I/O 通道 0 存储器数据屏蔽 -,slice4 M0_S4_DMP AY4 I/O 通道 0 存储器数据屏蔽 +,slice4 M0_S4_DQ32 AY3 I/O 通道 0 存储器数据, bit32 M0_S4_DQ33 AW7 I/O 通道 0 存储器数据, bit33 M0_S4_DQ34 AY6 I/O 通道 0 存储器数据, bit34 M0_S4_DQ35 AW6 I/O 通道 0 存储器数据, bit35 M0_S4_DQ36 AY7 I/O 通道 0 存储器数据, bit36 M0_S4_DQ37 AW3 I/O 通道 0 存储器数据, bit37 M0_S4_DQ38 AW2 I/O 通道 0 存储器数据, bit38 M0_S4_DQ39 AV2 I/O 通道 0 存储器数据, bit39 M0_S4_DQSN AY5 I/O 通道 0 存储器数据选通 -,slice4 M0_S4_DQSP AW5 I/O 通道 0 存储器数据选通 +,slice4 M0_S5_DMN AR19 I/O 通道 0 存储器数据屏蔽 -,slice5 M0_S5_DMP AT19 I/O 通道 0 存储器数据屏蔽 +,slice5 M0_S5_DQ40 AT17 I/O 通道 0 存储器数据, bit40 55

60 M0_S5_DQ41 AT22 I/O 通道 0 存储器数据, bit41 M0_S5_DQ42 AT21 I/O 通道 0 存储器数据, bit42 M0_S5_DQ43 AR22 I/O 通道 0 存储器数据, bit43 M0_S5_DQ44 AR21 I/O 通道 0 存储器数据, bit44 M0_S5_DQ45 AT18 I/O 通道 0 存储器数据, bit45 M0_S5_DQ46 AR18 I/O 通道 0 存储器数据, bit46 M0_S5_DQ47 AR17 I/O 通道 0 存储器数据, bit47 M0_S5_DQSN AT20 I/O 通道 0 存储器数据选通 -,slice5 M0_S5_DQSP AR20 I/O 通道 0 存储器数据选通 +,slice5 M0_S6_DMN AR12 I/O 通道 0 存储器数据屏蔽 -,slice6 M0_S6_DMP AT12 I/O 通道 0 存储器数据屏蔽 +,slice6 M0_S6_DQ48 AT10 I/O 通道 0 存储器数据, bit48 M0_S6_DQ49 AT15 I/O 通道 0 存储器数据, bit49 M0_S6_DQ50 AT14 I/O 通道 0 存储器数据, bit50 M0_S6_DQ51 AR15 I/O 通道 0 存储器数据, bit51 M0_S6_DQ52 AR14 I/O 通道 0 存储器数据, bit52 M0_S6_DQ53 AT11 I/O 通道 0 存储器数据, bit53 M0_S6_DQ54 AR11 I/O 通道 0 存储器数据, bit54 M0_S6_DQ55 AR10 I/O 通道 0 存储器数据, bit55 M0_S6_DQSN AT13 I/O 通道 0 存储器数据选通 -,slice6 M0_S6_DQSP AR13 I/O 通道 0 存储器数据选通 +,slice6 M0_S7_DMN AW45 I/O 通道 0 存储器数据屏蔽 -,slice7 M0_S7_DMP AY45 I/O 通道 0 存储器数据屏蔽 +,slice7 M0_S7_DQ56 AW44 I/O 通道 0 存储器数据, bit56 M0_S7_DQ57 AW48 I/O 通道 0 存储器数据, bit57 M0_S7_DQ58 AW47 I/O 通道 0 存储器数据, bit58 M0_S7_DQ59 AV47 I/O 通道 0 存储器数据, bit59 M0_S7_DQ60 AV44 I/O 通道 0 存储器数据, bit60 M0_S7_DQ61 AY48 I/O 通道 0 存储器数据, bit61 M0_S7_DQ62 AV43 I/O 通道 0 存储器数据, bit62 M0_S7_DQ63 AW43 I/O 通道 0 存储器数据, bit63 M0_S7_DQSN AW46 I/O 通道 0 存储器数据选通 -,slice7 M0_S7_DQSP AV46 I/O 通道 0 存储器数据选通 +,slice7 M0_S8_DMN AR46 I/O 通道 0 存储器数据屏蔽 -,slice8 M0_S8_DMP AT46 I/O 通道 0 存储器数据屏蔽 +,slice8 M0_S8_DQ64 AT44 I/O 通道 0 存储器数据, bit64 M0_S8_DQ65 AV49 I/O 通道 0 存储器数据, bit65 M0_S8_DQ66 AU49 I/O 通道 0 存储器数据, bit66 M0_S8_DQ67 AU48 I/O 通道 0 存储器数据, bit67 M0_S8_DQ68 AT48 I/O 通道 0 存储器数据, bit68 M0_S8_DQ69 AR45 I/O 通道 0 存储器数据, bit69 M0_S8_DQ70 AT45 I/O 通道 0 存储器数据, bit70 M0_S8_DQ71 AR44 I/O 通道 0 存储器数据, bit71 M0_S8_DQSN AT47 I/O 通道 0 存储器数据选通 -,slice8 56

FT-1500A/4数据手册V1.8

FT-1500A/4数据手册V1.8 FT-1500A/4 高性能通用微处理器数据手册 (V1.8) 天津飞腾信息技术有限公司 二零一九年五月 版本历史 以下为此文档释放过的更新版本 日期 版本号 作者 更新说明 2014.10.20 1.0 技术支持部初版 2014.12.26 1.1 技术支持部调整文档结构 2015.04.27 1.2 技术支持部调整文档结构 2015.09.06 1.3 技术支持部更新上电时序 2015.12.19

More information

EC(2013-1 4)13 第 2 頁 (b) 把 總 目 100 在 2013-14 年 度 常 額 編 制 內 所 有 非 首 長 級 職 位 按 薪 級 中 點 估 計 的 年 薪 總 值 上 限 提 高 12,480,540 元, 即 由 461,070,000 元 增 至 473,550

EC(2013-1 4)13 第 2 頁 (b) 把 總 目 100 在 2013-14 年 度 常 額 編 制 內 所 有 非 首 長 級 職 位 按 薪 級 中 點 估 計 的 年 薪 總 值 上 限 提 高 12,480,540 元, 即 由 461,070,000 元 增 至 473,550 EC(2013-1 4)13 財 務 委 員 會 人 事 編 制 小 組 委 員 會 討 論 文 件 2014 年 1 月 8 日 總 目 100- 海 事 處 分 目 000 運 作 開 支 總 目 92- 律 政 司 分 目 000 運 作 開 支 總 目 158- 政 府 總 部 : 運 輸 及 房 屋 局 ( 運 輸 科 ) 分 目 000 運 作 開 支 請 各 委 員 向 財 務 委 員

More information

才俊學校課程設計 _總目_.PDF

才俊學校課程設計 _總目_.PDF ( 2002.1.4) 1 2 3 / [ ] 4 0-2 2-7 7-11 11-15 1) 2)3) 4) / / / 6-7 7-8 8-9 9-10 10-11 11-12 12-13 13-14 14-15 15-16 3 3.5 4 4.5 5 5.5 6 6.5 7 7.5 20 ] 50-53,133-166 5 1. ( ) 2. ( ) 3. ( ) 4. ( / / / / )

More information

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请 竞 赛 规 程 一 比 赛 时 间 和 地 点 时 间 :2016 年 8 月 7 日 至 13 日 地 点 : 湖 北 省 利 川 市 二 竞 赛 织 指 导 单 位 : 中 国 门 球 协 会 主 办 单 位 : 中 国 门 球 协 会 门 球 之 苑 编 辑 部 利 川 市 人 民 政 府 承 办 单 位 : 湖 北 省 门 球 协 会 恩 施 州 老 年 人 体 育 协 会 利 川 市 文

More information

全 國 教 育 實 習 資 訊 平 臺 師 資 培 育 之 大 學 操 作 手 冊 目 錄 壹 在 校 師 資 生 使 用 全 國 教 育 實 習 資 訊 平 臺 之 前 置 作 業... 1 貳 使 用 者 註 冊 登 入 說 明... 4 一 加 入 會 員... 4 二 使 用 者 登 錄... 7 參 承 辦 人 員 資 料 管 理... 8 肆 校 內 公 布 欄... 9 伍 各 項 資

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378>

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378> 科 別 : 國 文 科 (A 區 ) 分 發 16 名 1 600110129 黃 毅 潔 國 立 豐 原 高 級 商 業 職 業 學 校 2 600110446 鄭 安 芸 國 立 南 投 高 級 中 學 3 600110632 李 孟 毓 桃 園 市 立 大 園 國 際 高 級 中 學 4 600110492 洪 珮 甄 南 投 縣 立 旭 光 高 級 中 學 5 600110262 柯 懿 芝

More information

(Microsoft Word -

(Microsoft Word - 摘 要 芶 芡 在 食 物 的 烹 調 上 經 常 被 使 用, 而 芶 芡 就 是 澱 粉 類 的 一 種 糊 化 過 程, 芶 芡 可 以 提 高 菜 類 的 持 水 能 力, 有 柔 軟 滑 嫩 爽 口 的 功 用, 本 實 驗 主 要 研 究 影 響 芶 芡 的 濃 稠 度 因 素, 實 驗 大 致 上 分 三 段, 一 找 出 市 面 上 可 以 芶 芡 的 物 質 以 及 不 同 品 牌

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

FLASH地址空间分配

FLASH地址空间分配 2015 年 1 月 成都申威科技有限责任公司 成都市华府大道四段电子科大科技园 D22 栋 Building D22, National University Science and technology park, Section 4, Huafu Avenue, Chengdu 免责声明 本文档仅提供阶段性信息, 所含内容可根据产品的实际情况随时更新, 恕不另行通知 如因 文档使用不当造成的直接或间接损失,

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP

General CNC PCU SINUMERIK OP TP SINUMERIK PCU PC USB PC SINUMERIK SINUMERIK PCU SINUMERIK PCU PC SINUMERIK OP SINUMERIK PCU SINUMERIK SINUMERIK PCU MP 3/2 3/4 3/4 SINUMERIK OP 010 3/5 SINUMERIK OP 010S 3/6 SINUMERIK OP 010C 3/7 SINUMERIK OP 012 3/8 SINUMERIK TP 012 3/9 SINUMERIK OP 015 3/10 SINUMERIK OP 015A 3/11 SINUMERIK TP 015A 3/12 SINUMERIK OP 030

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

目 录 表 1.A01: 农 业 ; 林 业 ; 畜 牧 业 ; 狩 猎 ; 诱 捕 ; 捕 鱼 (253 件 )... 5 表 2.A21: 焙 烤 ; 制 作 或 处 理 面 团 的 设 备 ; 焙 烤 用 面 团 (5 件 )... 14 表 3.A22: 屠 宰 ; 肉 品 处 理 ; 家

目 录 表 1.A01: 农 业 ; 林 业 ; 畜 牧 业 ; 狩 猎 ; 诱 捕 ; 捕 鱼 (253 件 )... 5 表 2.A21: 焙 烤 ; 制 作 或 处 理 面 团 的 设 备 ; 焙 烤 用 面 团 (5 件 )... 14 表 3.A22: 屠 宰 ; 肉 品 处 理 ; 家 2015 年 底 甘 肃 省 有 效 发 明 专 利 简 明 信 息 前 言 十 二 五 期 间 我 省 实 施 知 识 产 权 战 略 成 效 显 著, 五 年 专 利 申 请 受 理 量 51128 件, 是 十 一 五 期 间 11480 件 的 4.45 倍 ;2015 年 底 有 效 发 明 实 用 新 型 和 外 观 设 计 专 利 18327 件, 是 2010 年 5318 件 的

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

A B C D E F 3 B C D E F A 3 1995 13 27 299 1993 45 29 301 1995 47 5 12 30 6 12 31 67 17 1 1 4 8 00 2 145 1 1 11 12 1 1 1 1 1 1 1 1 1+ + + + + + + 2 6 12 20 30 42 56 72 1 1 1 1 2 + + + + 1 3 3 5 5 7

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Ps22Pdf

Ps22Pdf 2 0 0 0 ( ) 0 6 3,,,, (CIP ) / :,1999 12 ISBN 7 113 033040 F830 46 CI P ( 1999) 55907 : : : ( 100054, 8 ) : : : : : 850 mm1 168 mm 1/ 32 : 3 875 : 104 : 2000 2 1 2000 2 1 : 1 3 000 : ISBN 7113033040/ Z451

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

CH432DS1.DOC

CH432DS1.DOC CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A http://wch.cn CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

整书分部 第一部(无编号1级)

整书分部 第一部(无编号1级) 带 I 2 C 总线 /SPI 接口,64 字节发送和接收 FIFO, 支持内置 IrDA( 版本 1.0 和 1.1) 的单个 UARTSC16IS750/SC16IS760 1. 概述...3 2. 特性...3 2.1 通用特性...3 2.2 I 2 C 总线特性...4 2.3 SPI 特性...4 3. 应用...4 4. 订购信息...4 5. 方框图...5 6. 管脚配置信息...6

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

FET848

FET848 YT8450-86A USB 手 柄 特 点 高 性 能 CMOS 技 术 ; 工 作 电 压 :3.5V ~ 6.0V; 工 作 电 流 :10mA; 工 作 频 率 :6MHz; 3 个 LED 分 别 表 示 Analog/Digital 模 式 Turbo 模 式 和 Slow 模 式 ; 支 持 8 个 按 键 的 Turbo 模 式 ; 两 种 Analog/Digital 模 式 的

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

KeDrive for Motion Catalogue to print_without_bleedmark.pdf

KeDrive for Motion Catalogue to print_without_bleedmark.pdf KeDrive for Motion KeDrive D3 4 6 10 14 16 20 22 26 28 32 34 36 38 40 cross-axis 1-2- 3-300% KeDrive for Motion DU DP DA DU 345Nm KeStudio 24V link, DriveLink 5000Nm EMC DP 5000Nm DC 24V DA + SMM * KeDrive

More information

,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN G CIP (2003) ( ) : : : 880mm 1230mm 1/ 32 : 7.125

,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN G CIP (2003) ( ) : : : 880mm 1230mm 1/ 32 : 7.125 / / / 2003 ,,,,,,,,, (CIP) : /,,. 2. :, 2004 ISBN 7-313-03506-3................ G647.38 CIP (2003) 087156 ( 877 200030 ) :64071208 : : 880mm 1230mm 1/ 32 : 7.125 :168 2003 10 1 2004 10 2 2004 10 2 :20

More information

NTC/PTC热敏电阻

NTC/PTC热敏电阻 ... 61... 63 /... 70... 74 /... 79... / 2. : 3. 4. : A. 5. 32AC : 1. - 2. - ( 42AA 43AE) ( 53AD 59AR) B. : 1. 42AA / 3. 4. 53AD : : 1. ( ) 2. NTC/PTC Uni-Curve Iso-Curve Iso-Curve Iso-Chip TM (0.1 ) LTN

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM FPGA IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 SMART, Shutdown, FLUSH!! Linux Gen3 PCIe SSD 2ch RAID 2ch RAID

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

775i65PE_BIOS_CN.p65

775i65PE_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 14:00:09] [Wed 10/20/2004] BIOS Version : 775i65PE BIOS P1.00 Processor Type : Intel (R) CPU 3.20 GHz Processor Speed : 3200

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

<4D F736F F D F F315FAAFEA5F333AAF9B645C2E5C0F8AA41B0C8C249BCC6B24DB3E6B443C5E9A5D3B3F8AEE6A6A12E646F63>

<4D F736F F D F F315FAAFEA5F333AAF9B645C2E5C0F8AA41B0C8C249BCC6B24DB3E6B443C5E9A5D3B3F8AEE6A6A12E646F63> 門 診 醫 療 服 務 點 數 清 單 媒 體 申 報 格 式 及 填 表 說 明 97.5.1 更 新 版 ( 檔 案 名 稱 : DTLFA, 每 筆 長 度 246 BYTES) 項 次 資 料 名 稱 格 式 中 文 名 稱 / 資 料 說 明 ==== ======================== ==== ================================== *01

More information

T

T 通 訊 指 令 說 明 Pt : 1, 透 過 Port 1 以 Modbus RTU 通 訊 定 作 料 傳 輸 2, 透 過 Port 2 以 Modbus RTU 通 訊 定 作 料 傳 輸 SR : 通 訊 程 式 起 始 暫 存 器 ( 見 範 例 說 明 ) WR : 指 令 運 作 起 始 暫 存 器 ( 見 範 例 說 明 ), 共 佔 用 8 個 暫 存 器, 其 它 程 式 不

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应

因 味 V 取 性 又 鸟 U 且 最 大 罗 海 惜 梅 理 春 并 贵 K a t h l ee n S c h w e r d t n er M f l e z S e b a s t i a n C A Fe rs e T 民 伊 ' 国 漳 尤 地 视 峰 州 至 周 期 甚 主 第 应 国 ' 东 极 也 直 前 增 东 道 台 商 才 R od e ric h P t ak 略 论 时 期 国 与 东 南 亚 的 窝 贸 易 * 冯 立 军 已 劳 痢 内 容 提 要 国 与 东 南 亚 的 窝 贸 易 始 于 元 代 代 大 规 模 开 展 的 功 效 被 广 为 颂 扬 了 国 国 内 市 场 窝 的 匮 乏 窝 补 虚 损 代 上 流 社 会 群 体 趋 之 若 鹜 食 窝

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

《宽带上网手册》

《宽带上网手册》 宽 带 上 网 手 册 (2012 年 3 月 25 日 ) 第 一 部 分 : 常 识 问 答 1 什 么 是 上 网? 上 网 是 指 用 户 采 用 一 定 的 技 术 和 方 式 ( 如 电 话 线 拨 号 ADSL 光 纤 到 户 等 ) 将 用 户 终 端 与 互 联 网 相 连 接, 并 通 过 网 络 获 取 各 种 信 息 和 应 用 服 务 的 活 动 2 连 上 互 联 网,

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information