FM226前端评审文件

Size: px
Start display at page:

Download "FM226前端评审文件"

Transcription

1 FM1216 系列 CPU 卡芯片 FM1216 系列 CPU 卡芯片 版本 1.4 1

2 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价 采购方对于选择与使用本文描述的复旦微电子的产品和服务全权负责, 复旦微电子不承担采购方选择与使用本文描述的产品和服务的责任 除非以书面形式明确地认可, 复旦微电子的产品不推荐 不授权 不担保用于包括军事 航空 航天 救生及生命维持系统在内的, 由于失效或故障可能导致人身伤亡 严重的财产或环境损失的产品或系统中 未经复旦微电子的许可, 不得翻印或者复制全部或部分本资料的内容 今后日常的产品更新会在适当的时候发布, 恕不另行通知 在购买本资料所记载的产品时, 请预先向复旦微电子在当地的销售办事处确认最新信息, 并请您通过各种方式关注复旦微电子公布的信息, 包括复旦微电子的网站 ( 如果您需要了解有关本资料所记载的信息或产品的详情, 请与上海复旦微电子集团股份有限公司在当地的销售办事处联系 商标上海复旦微电子集团股份有限公司的公司名称 徽标以及 复旦 徽标均为上海复旦微电子集团股份有限公司及其分公司在中国的商标或注册商标 上海复旦微电子集团股份有限公司在中国发布, 版权所有 FM1216 系列 CPU 卡芯片 版本 1.4 2

3 1 产品综述 1.1 介绍 FM1216 系列 CPU 卡芯片支持 ISO14443A 和 ISO7816 通信协议,EEPROM 容量为 16Kbyte 本产品是 FM1208 非接触 CPU 卡的升级产品, 市场目标定位于接触式的社保卡 ESAM 模块及非接触和双界面应用下的公交 高速 小额消费以及市民卡等领域 1.2 特性 兼容 FM11RF08M FM11RF08SH FM11RF32M FM11RF32SH 系列非接触卡芯片 支持 ISO7816 通信协议 支持 ISO/IEC 14443A 通信协议 支持 ISO/IEC 14443A 106K 212K 和 424K 传输速率 一个标准 ISO7816 接口 MCU 指令兼容 Turbo 51 Triple-DES 安全算法 RSA(2048 位 ) 安全算法 SSF33 安全算法 SHA1 安全算法 内部带 PLL 程序存储器 64K x 8bit ROM( 用户可用 56K x 8bit) 数据存储器 16K x 8bit EEPROM RF 接口 280x8bit 数据缓存 256x8bit iram 2048x8bit xram 低压检测复位 高低频检测复位 存储器数据加密 EEPROM 满足 10 万次擦写指标 EEPROM 满足 10 年数据保存 FM1216 系列 CPU 卡芯片 版本 1.4 3

4 1.3 功能框图 FM1216 系列 CPU 卡芯片的整体功能框图如下 : RESET PROGRAM MEMORY DATA MEMROY XRAM IRAM CLOCK MCU RF MMU SECURITY RANDOM SSF33 RSA SHA1 TDES DMA 图 1-1 FM1216 功能框图 1.4 管脚封装 封装形式为非接触模块 接触模块和双界面模块封装 1.5 管脚功能 非接触模块 编号 管脚名称 管脚定义 类型 说明 1 IN1 天线 1 输入输出 非接触接口射频脚 1 2 IN2 天线 2 输入输出 非接触接口射频脚 2 表 1-1 FM1216 非接触模块管脚功能 FM1216 系列 CPU 卡芯片 版本 1.4 4

5 1.5.2 接触模块封装 编号管脚名称管脚定义类型说明 1 VCC C1 电源 ISO7816 接口电源 2 RST C2 输入 ISO7816 接口复位信号, 低有效 3 CLK C3 输入 ISO7816 接口时钟 RFU 5 GND C5 电源 ISO7816 接口地 RFU 7 IO C7 输入输出 ISO7816 接口双向通信信号 RFU 表 1-2 FM1216 接触模块封装管脚功能 双界面模块封装 编号管脚名称管脚定义类型说明 1 VCC C1 电源 ISO 7816 接口电源 2 RST C2 输入 ISO 7816 接口复位信号, 低有效 3 CLK C3 输入 ISO 7816 接口时钟 RFU 5 GND C5 电源 ISO 7816 接口地 RFU 7 IO C7 输入输出 ISO 7816 接口双向通信信号 RFU 9 IN1 天线 1 输入输出 非接触接口射频脚 1 10 IN2 天线 2 输入输出 非接触接口射频脚 2 表 1-3 FM1216 双界面模块封装管脚功能 FM1216 系列 CPU 卡芯片 版本 1.4 5

6 2 工作流程 芯片可以以单界面或者双界面进行工作 单界面工作时由单个接口 ( 非接触接口或接触接口 ) 供电, 接口独立工作 双界面工作时由两个接口同时供电, 先上电的接口进行工作, 等该接口下电后, 另外一个接口开始工作 非接触界面 typea 接口工作时分逻辑加密卡和 CPU 两个工作流程, 根据选卡后的第一条命令 ( 是否为 RATS) 选择进入相应的工作流程 FM1216 系列 CPU 卡芯片 版本 1.4 6

7 2.1 typea 界面工作流程 Reset State Idle State Receive REQA Send ATQA Anticollision loop Ready State yes Receive WUPA? Halt State no Receive HALT? yes no no Receive RATS? yes COS initialize ATS State Mifare Module Process MIFARE Ready State Send ATS COS Initialization State Send DESELECT Response Receive HALT? MCU Ready State no DESELECT Request? no yes COS Process 图 2-1 FM1216 typea 界面工作流程 FM1216 系列 CPU 卡芯片 版本 1.4 7

8 2.2 接触界面工作流程 1. 芯片上电复位后 MCU 就开始工作, 接触接口保持在接收数据状态 2. MCU 通过写 ct_control 寄存器的发送控制位控制接触接口发送数据, 发送数据过程 3. 接收和发送数据的过程中,MCU 可以保持工作 2.3 双界面工作流程 上电 接口模式检测 非接触界面有效 接触界面有效 非接触界面工作 接触界面工作 两个界面都有效 接触界面下电 接触界面有效 非接触界面下电 非接触界面有效非接触界面下电 双界面非接触界面工作 接触界面下电 切换接口界面到接触界面 双界面接触界面工作 切换接口界面到非接触界面 图 2-2 FM1216 芯片工作流程 (CL 接口为 typea 协议 ) FM1216 系列 CPU 卡芯片 版本 1.4 8

9 3 存储器配置 FM1216 的 memory 包括片内存储器 程序存储器和片外存储器 如下图所示 : FFH 片内存储器 FFFFH FFFFH SFR Space 80H 7FH 程序存储器 片外存储器 iram 00H 0000H 0000H 图 3-1 FM1216 存储器空间 3.1 片内存储器 FM1216 片内存储器为 256byte 的 iram,sfr space 为 CPU 的内部 SFR 寄存器 3.2 程序存储器 FM1216 程序存储器为 64K byte ROM, 用于存放 CPU 的程序 其中 56K 为通用程序区,8K 为测试程序区 3.3 片外存储器 FM1216 的片外存储器包括数据存储器 (DATA MEMORY) xram 和寄存器 FM1216 对所有的片外存储器统一编址 片外存储器地址分配空间如下 编号片外存储单元地址存储空间说明 H ~ 3FFFH 16K 数据存储器 h ~ DFFFH 40K 空白区 3 E000H ~ EFFFH 4K xram 区 ( 实际大小为 2K) 4 F000H ~ FFFFH 4K 寄存器组 表 3-1 FM1216 片外存储器地址空间分配 FM1216 数据存储器的 EEPROM 大小为 16K, 即实际空间为 16K,16K 到 56K 之间为空白区, 对其进行访问为错误操作, 置错误标志 FM1216 系列 CPU 卡芯片 版本 1.4 9

10 4 指令系统 接触接口下, 硬件只起传输作用, 不解析指令 非接触 typea 接口下, 硬件只解析逻辑加密卡指令 ISO 协议的 RATS 指令以及 CPU 卡功能下相关的指令均由 COS 进行处理, 硬件只起传输作用 非接触 typea 硬件支持的指令集如下 : 指令名称 指令代码 (16 进制 ) 说明 request std 26 复位应答指令寻找未被置成暂停状态的卡 request all 52 复位应答指令寻找所有在操作区域内的卡 Anti-collision 93 防冲突指令如果操作区域内有一张或多张卡片, 本指令将用来从这些卡片中选出一张卡 Select Card 93 选择卡片指令在防冲突指令后建立起与选中卡的通讯 Authentication.1a 60 验证指令 (KeyA) 在访问卡片存储区之前, 用户必须证明他们操作的合法性 可以通过验证读写器内的密码与卡内的密码是否一致来获得 Authentication.1b 61 验证指令 (KeyB) Read 30 读块指令读出卡中某一块的 16 个字节 Write A0 写块指令将数据写入卡中的某一块 Increment C1 加法指令将卡中的数值块加上某一数值, 并把结果存于卡内的寄存器 Decrement C0 减法指令将卡中的数值块减去某一数值并把结果存于卡内的寄存器 Restore C2 存储指令将卡内数值块的内容读到卡内的寄存器 Transfer B0 传输指令将卡内寄存器中的内容写入块中 Halt 50 暂停指令将卡片置于暂停状态 表 4-1 FM1216 非接触 typea 硬件支持的指令集 FM1216 系列 CPU 卡芯片 版本

11 5 电气参数 5.1 极限参数 项目符号极值单位 工作温度 ( 模块 ) Topr C 贮藏温度 ( 模块 ) Tstr C ESD(HBM 模型 ) Vesd 4000( 最小 ) V 5.2 直流参数 注 : 参数符号最小值典型值最大值单位 工作电压范围 Vcc V 静态工作电流 Idd 200 ua 最大工作电流 Icc1( 接触 ) 20 ma Icc2( 非接触 ) 5 ma 1.Idd 为 ISO7816 接触接口 STOP 模式静态功耗 ( 工作电压 5.5V) 2.Icc1 为接触界面最大工作电流 3.Icc2 为非接触界面最大工作电流 5.3 交流参数 参数符号最小值典型值最大值单位 输入电容 Cin ff 输入频率 Fin1( 非接触 ) MHz Fin2( 接触 ) Mhz 工作频率 Fsystem Mhz EEPROM 擦写时间 Tw ms EEPROM Endurance Nwrite 10 万次 EEPROM Retention Tret 10 年 FM1216 系列 CPU 卡芯片 版本

12 版本信息 版本号发布日期页数章节或图表更改说明 首次发布 更新部分内容 增加电气参数 修改笔误 2. 公司更名为 上海复旦微电子集团股份有限公司 3. 北办地址门牌变更 修正电气参数及说明 章 1. 更新管脚说明 章 1. 更新部分电气参数 销售及服务网点 1. 更新法律声明 2. 更新销售及服务网点 FM1216 系列 CPU 卡芯片 版本

13 上海复旦微电子集团股份有限公司销售及服务网点 上海复旦微电子集团股份有限公司地址 : 上海市国泰路 127 号 4 号楼邮编 : 电话 :(86-021) 传真 :(86-021) 上海复旦微电子 ( 香港 ) 股份有限公司地址 : 香港九龙尖沙咀东嘉连威老道 98 号东海商业中心 5 楼 506 室电话 :(852) 传真 :(852) 北京办事处地址 : 北京市东城区东直门北小街青龙胡同 1 号歌华大厦 B 座 423 室邮编 : 电话 :(86-10) 传真 :(86-10) 深圳办事处地址 : 深圳市华强北路 4002 号圣廷苑酒店世纪楼 1301 室邮编 : 电话 :( ) 传真 :( ) 台湾办事处地址 : 台北市 114 内湖区内湖路一段 252 号 12 楼 1225 室电话 :(886-2) 传真 :(886-2) 新加坡办事处地址 :237, Alexandra Road, #07-01, The Alexcier, Singapore 电话 :(65) 传真 :(65) 北美办事处地址 :2490 W. Ray Road Suite#2 Chandler, AZ USA 电话 :(480) ext 18 公司网址 : FM1216 系列 CPU 卡芯片 版本

Microsoft Word - FM11RF08_fs_chs.doc

Microsoft Word - FM11RF08_fs_chs.doc FM11RF08 8KBits EEPROM 非接触式 射频卡芯片 2008. 5 FM11RF08 8KBits 非接触式射频卡芯片 版本 2.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前,

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM17550通用非接触通讯芯片

FM17550通用非接触通讯芯片 FM17550 通用 非接触通讯芯片 2014. 07 FM17550 非接触通讯芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM11NT0X1D 双界面 NFC Forum Type2 Tag 芯片 2014. 04 FM11NT0X1D 双界面 NFC Type2 Tag 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前,

More information

API功能简介

API功能简介 FM8303 API 说明手册 时间 :2016-9 版本 :0.10 FM8303 版本 0.10 1 日期版本号简要描述 2016-9-12 0.10 1. 初始版本 上海复旦微电子集团股份有限公司 中国 上海 FM8303 版本 0.10 2 目 录 1 FM8303 驱动简介... 4 2 驱动 API 功能及描述... 5 2.1 API 描述... 5 2.1.1 FM_Reader_Factory...

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

目 录 卷 首 语 技 术 信 息 交 流 03 移 动 支 付 的 发 展 趋 势 分 析 09 2014 年 度 ( 第 二 届 ) 金 标 奖 评 选 工 作 通 知 工 作 组 活 动 10 机 卡 兼 容 性 标 准 统 一 化 指 导 意 见 14 卡 片 的 封 装 cos 的 技 术

目 录 卷 首 语 技 术 信 息 交 流 03 移 动 支 付 的 发 展 趋 势 分 析 09 2014 年 度 ( 第 二 届 ) 金 标 奖 评 选 工 作 通 知 工 作 组 活 动 10 机 卡 兼 容 性 标 准 统 一 化 指 导 意 见 14 卡 片 的 封 装 cos 的 技 术 卷 首 语 行 业 推 动 标 准 先 行 本 月 19 日, 我 有 幸 代 表 城 市 一 卡 通 企 业 创 新 与 发 展 联 合 会 组 织 召 开 了 联 合 会 标 准 工 作 组 第 一 次 工 作 会 议, 本 次 会 议 讨 论 了 行 业 里 长 期 存 在 的 机 卡 兼 容 问 题, 并 形 成 解 决 问 题 的 指 导 意 见 参 与 会 议 讨 论 的 企 业 有 20

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 )

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 ) 香港办事处 海外销售 香港九龙官塘敬业街63号利维大厦6楼 电话 +852 376 2888 传真 +852 2341 8144 惠州伟志电子有限公司 博罗县罗阳镇鸿达国际工业制造城 电话 +86 752 6959999 传真 +86 752 6959888 产品和技术查询 请电邮至照明事业部 lighting@waichi.com 版权 217伟志股权公司 版权所有 此处包含的信息如有变更 恕不另行通知

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

第四期:加强服务在内地港人及吸引人才

第四期:加强服务在内地港人及吸引人才 精 彩 香 港 第 四 期 : 加 强 服 务 在 内 地 港 人 及 吸 引 人 才 [ 出 : 精 彩 香 港 总 版 头 -40 秒 ] 从 南 中 国 海 边 的 小 渔 村, 到 领 衔 亚 洲 的 国 际 都 会, 从 历 史 风 云 的 波 折 变 幻, 到 中 西 文 化 的 碰 撞 交 融, 香 港 精 神 深 度 访 谈, 香 港 制 造 全 景 展 现, 用 声 音 还 原 香

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

\\Server\技術部結案計劃\已量產\

\\Server\技術部結案計劃\已量產\ ADLEEPOWER R PROG PROG REV FWD ADLEEPOWER F302 FUNC PROG STOP FUNC PROG STOP R AS IM (MC) ON - OFF AS ON - OFF IM (NFB) IM SW1 JP2 ARR RUN MET FT2 RST 1 2 3 4 JP1 VCC FA1 FA2 GND C A/B FWD REV

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

untitled

untitled 2010 2010 1 1.1 1.2 1.3 ( ) 2 2.1 2010.9.30 2009.12.31 % 4,126,073,567.93 3,693,840,245.74 11.70% 1,168,078,495.46 1,025,785,662.02 13.87% 479,722,800.00 479,722,800.00 0.00% / 2010 7-9 2.43 2.14 13.55%

More information

Microsoft Word - ??山

Microsoft Word - ??山 没 药 山 要 宣 告 耶 和 华 的 名, 你 们 要 将 大 德 归 于 我 们 的 神! 你 当 追 想 上 古 之 日, 思 念 历 代 之 年 问 你 的 父 亲, 他 必 指 示 你 ; 问 你 的 长 者, 他 必 告 诉 你 ( 申 32 3 7) 凡 是 真 实 的, 可 敬 的, 公 义 的, 清 洁 的, 可 爱 的, 有 美 名 的 ; 若 有 什 么 德 行, 若 有 什

More information

Microsoft Word - 助理人員教育訓練-會計室.docx

Microsoft Word - 助理人員教育訓練-會計室.docx 壹 報 帳 流 程 區 分 為 以 下 三 種 流 程 : 請 購 單 流 程 請 款 單 流 程 借 款 核 銷 流 程 一 請 購 單 流 程 1 二 請 款 單 流 程 1 3 NO YES 10 20 2 3 三 借 款 核 銷 流 程 貳 憑 證 的 種 類 及 內 容 一 統 一 發 票 1. 三 聯 式 統 一 發 票 (1) 買 受 人 : 務 必 請 廠 商 填 上 輔 仁 大 學

More information

关于规范区委、区委办公室发文

关于规范区委、区委办公室发文 浦 东 情 况 通 报 第 13 期 中 共 浦 东 新 区 区 委 办 公 室 2015 年 6 月 8 日 沈 晓 明 同 志 在 全 区 党 员 领 导 干 部 三 严 三 实 专 题 教 育 党 课 上 的 讲 话 (2015 年 5 月 15 日 ) 前 不 久, 中 央 下 发 了 在 县 处 级 以 上 领 导 干 部 中 开 展 三 严 三 实 专 题 教 育 的 方 案, 刘 云

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

(35 )

(35 ) 2004 20 2004 19 2004 22 2004 21 2004 2656 2004 1973 (35 ) 2004 72 2005 29 ()() 2005 983 2005 23 2005 31 2005 28 2004 1927 2005 76 () 2005 1392 2005 907 2004 164 2004 27 2004 20 2004 7 16 1 2 3 4 5 6 7

More information

nbqw.PDF

nbqw.PDF 2002 2002 3 3 4 6 8 11 13 14 26 28 32 60 2002 2003 1 18 2002 2002 5 31 3100001006917 310115739764252 310115739764252 312,377,217.73 360,048,073.09 360,048,073.09 204,681,114.81 204,681,114.81 2,253,777.58

More information

Modern Ideas of Government Reform ...

Modern Ideas of Government Reform ... 现 代 政 府 改 革 理 念 与 澳 门 公 共 行 政 改 革 周 谭 陈 瑞 莲 澳 门 回 归 后, 市 民 对 澳 门 公 共 行 政 改 革 的 要 求 强 烈, 希 望 通 过 改 革 重 建 政 府 公 务 员 与 整 个 行 政 架 构 的 运 作 效 率, 使 社 会 走 向 开 放 与 透 明 政 府 也 希 望 通 过 公 共 行 政 改 革 赢 回 市 民 的 信 心 回

More information

工程设计资质标准

工程设计资质标准 工 程 设 计 资 质 标 准 为 适 应 社 会 主 义 市 场 经 济 发 展, 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 结 合 各 行 业 工 程 设 计 的 特 点, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 21 个 行 业 的 相 应 工 程 设 计 类 型 主 要 专 业 技 术 人 员

More information

4 办 公 室 工 作 实 务 ( 第 3 版 ) 第 1 单 元 单 位 组 织 的 有 效 运 作 离 不 开 办 公 室 工 作 情 景 案 例 左 景 被 宏 达 商 业 集 团 公 司 录 用 为 秘 书, 试 用 期 间, 只 能 在 办 公 室 打 杂, 有 时 工 作 稍 有 差 错

4 办 公 室 工 作 实 务 ( 第 3 版 ) 第 1 单 元 单 位 组 织 的 有 效 运 作 离 不 开 办 公 室 工 作 情 景 案 例 左 景 被 宏 达 商 业 集 团 公 司 录 用 为 秘 书, 试 用 期 间, 只 能 在 办 公 室 打 杂, 有 时 工 作 稍 有 差 错 模 块 1 办 公 室 工 作 概 述 3 模 块 1 办 公 室 工 作 概 述 学 习 目 标 知 识 目 标 : 了 解 办 公 室 工 作 的 性 质 作 用 和 职 能 理 解 办 公 室 工 作 的 原 则 性 与 灵 活 性 认 识 办 公 室 秘 书 应 具 备 的 职 业 素 养 能 力 目 标 : 培 养 办 公 室 秘 书 的 工 作 悟 性 完 善 办 公 室 秘 书 的 能

More information

nbqw.PDF

nbqw.PDF 2002 2 2002 3 2002 4 2002 5 2002 2002 497,436,769.87 398,874,507.07 359,317,320.34 1,009,717,057.82 6,259,557.58 331,224,008.07 78,162,839.02 82,594,331.83 5,455,590.95 742,195,671.99-28,879,459.87 6 2002

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

untitled

untitled FBC0409 V1.0 1.0 05.06.22 SIA 2005 SIA SIA SIA SIA SIA 114 86-24-23970133 HTTP://WWW.SIA.CN YANG@SIA.CN 2 ...5...5...6 PIN...6...7 1 CPU...8 2...8 4...8 5 DMA...9 7....9 8...9 9...10 A...10 B...10...11.

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

MCU Keysight CX GSa/s pa 10 A 200 MHz CX3300 FFT

MCU Keysight CX GSa/s pa 10 A 200 MHz CX3300 FFT Keysight Technologies CX3300 MCU MCU Keysight CX3300 2 4 1 GSa/s 14 16 150 pa 10 A 200 MHz CX3300 FFT 03 Keysight CX3300-1 µa 10 ma 1 ma Keysight CX3300 MCU 1 CX3300 14 16 200 MHz 1 GHz 256 MB CX3300 1

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

Microsoft Word - ~ doc

Microsoft Word - ~ doc EEPROM 功能使用方法 1 适用产品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 仿真为 Internal EEPROM, 在程序执行时, 可将 code flash 作为 data flash 储存数据使用

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

- 1-5.2-29 - 1.1-1 - - 40-1.2-2 - 6.1 F0-40 - - 4-6.2 F1-42 - 2.1-4 - 6.3 F2-44 - 2.2-4 - 6.4 F3-45 - 2.3-5 - 6.5 F4-49 - 2.4-5 - 6.6 F5-51 - 2.5-7 - 6.7 F6-53 - 2.6-8 - 6.8 F7-55 - 2.7-8 - 6.9 F8-57 -

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

(Microsoft Word - 3\271\375\246\321\257R.doc)

(Microsoft Word - 3\271\375\246\321\257R.doc) 東 野 圭 吾 短 篇 集 3 一 徹 老 爹 得 知 母 親 生 下 的 是 男 寶 寶 時, 我 打 從 心 底 感 到 開 心, 因 為 這 代 表 我 終 於 能 夠 逃 離 那 悲 慘 的 生 活 了 而 父 親 的 喜 悅 肯 定 是 遠 勝 於 我 的 母 親 在 產 房 時, 父 親 和 我 在 家 中 等 候 當 我 轉 告 他 醫 院 來 電 報 喜, 他 立 刻 如 健 美 選

More information

大 台 北 與 桃 竹 苗 地 區 北 得 拉 曼 巨 木 步 道 新 竹 縣 尖 石 鄉 鎮 西 堡 巨 木 群 步 道 新 竹 縣 尖 石 鄉 鳥 嘴 山 登 山 步 道 苗 栗 縣 泰 安 鄉 加 里 山 登 山 步 道 苗 栗 縣 南 庄 鄉

大 台 北 與 桃 竹 苗 地 區 北 得 拉 曼 巨 木 步 道 新 竹 縣 尖 石 鄉 鎮 西 堡 巨 木 群 步 道 新 竹 縣 尖 石 鄉 鳥 嘴 山 登 山 步 道 苗 栗 縣 泰 安 鄉 加 里 山 登 山 步 道 苗 栗 縣 南 庄 鄉 地 區 步 道 名 稱 蘇 花 古 道 : 大 南 澳 越 嶺 段 困 難 度 分 級 長 度 ( 公 里 ) 2 4.1 宜 蘭 縣 南 澳 鄉 南 澳 古 道 1 3.0 宜 蘭 縣 南 澳 鄉 拳 頭 姆 自 然 步 道 1 1.3 宜 蘭 縣 三 星 鄉 林 務 局 台 灣 百 條 推 薦 步 道 交 通 與 路 況 位 置 交 通 指 南 路 況 註 記 管 理 單 位 步 道 口 位 於

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc

Microsoft Word - IRFWX-A078_A_SM59R08A2_SM59R16A2_IIC APN_SC_.doc 1 适用产品 :SM59R16A2 / SM59R08A2 2 IIC 使用概述 : 2.1 IIC module 硬件使用 SCL (clock) 及 SDA (data) 两条线 2.2 速度 :SCL 最高可达 400Kbps( 可由软件设定 SFR IICBR[2:0]) 2.3 IIC 可选择 master 或 slave 两种模式 2.4 提供中断 (RXIF, TXIF) 及两组控制地址使用

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

JMY600系列读写卡模块

JMY600系列读写卡模块 MIFARE & ISO14443A & ISO14443B & ISO7816 & ISO15693 非接触式 IC 卡读写模块 JMY600 系列读写卡模块 MIFARE 1 卡操作指南 (Revision 1.13) 北京金木雨电子有限公司 2014/1/3 在使用本产品前请详细阅读本说明书, 如果有任何疑问, 请联系我们, 我们会给您详尽的解答 目录 1 概述... 2 2 主要性能指标...

More information

/ / (FC 3)...

/ / (FC 3)... Modbus/TCP 1.0 1999 3 29 Andy Swales Schneider aswales@modicon.com ... 2 1.... 3 2.... 3 2.1.. 3 2.2..4 2.3..4 2.4... 5 3.... 5 3.1 0... 5 3.2 1... 5 3.3 2... 6 3.4 / /... 7 4.... 7 5.... 8 5.1 0... 9

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

块 0 数据块 0 扇区 0 块 1 数据块 1 块 2 数据块 2 块 3 密码 A 存取控制 密码 B 控制块 3 块 0 数据块 4 扇区 1 块 1 数据块 5 块 2 数据块 6 块 3 密码 A 存取控制 密码 B 控制块 7 0 数据块 60 扇区 15 1 数据块 61 2 数据块

块 0 数据块 0 扇区 0 块 1 数据块 1 块 2 数据块 2 块 3 密码 A 存取控制 密码 B 控制块 3 块 0 数据块 4 扇区 1 块 1 数据块 5 块 2 数据块 6 块 3 密码 A 存取控制 密码 B 控制块 7 0 数据块 60 扇区 15 1 数据块 61 2 数据块 MF09 非接触卡通用读写器 Mifare 经典系列卡操作说明书 本说明书将详细描述 Mifare 卡的结构 性能以及 MF09 非接触卡通用读写器针对 Mifare 卡 (S50 S70) 的操作过程 用户通过该说明, 可以对 Mifare 卡有一个完整地了解, 并使用 MF09 读写器对卡片进行相关的操作 本说明书包括如下部份 : 1:Mifare 卡技术资料 2:MF09 读写器针对 Mifare

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次 严 师 慈 母 池 际 尚 院 士 培 养 研 究 生 记 实 叶 德 隆 叶 德 隆, 男,1936 年 12 月 初 生 中 国 地 质 大 学 ( 武 汉 ) 地 球 科 学 学 院 教 授 1960 年 北 京 地 质 学 院 岩 石 矿 物 学 专 业 毕 业 并 留 校 任 教,1962 年 北 京 地 质 学 院 研 究 生 毕 业 主 要 从 事 岩 浆 岩 岩 石 学 晶 体 光

More information

SIGA简报第510期.doc

SIGA简报第510期.doc 2013 7 17 20... 1... 2... 3... 3... 4... 6 2013 7 17 20 [ ] 1 8 2 8 3 8 [ ] 4 8 5 8 6 8 7 8 上海市图像图形学学会 SIGA 简报 总第 510 期 2013 年 第 3 期 复旦大学张江校区内的软件楼与微电子楼 复旦大学张江校区荷花池 理事长 张文军 常务副理事长 许志祥 秘书长 支琤 上海市图像图形学学会网址

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

Microsoft Word - report 4.doc

Microsoft Word - report 4.doc , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA 1.1.1 1.1.2 1.1.3 , USA , USA , USA 1.1.4 , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402

RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 年 路 年 1 [1-3][4] [5-7] [15] Visual Basic [10] 401 RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402 1 1 X0 X1 X2 X3 SENSOR Y0 SENSOR VB X3 Y0 Y1 Y2 Y3 Y4 Y5 Y1~Y5 Y6 VB Y7 VB Y11 Y12 Y13 Y14 Y15 Y11~Y15

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information