<4D F736F F D20AAFEA5F3312D2DB1D0BEC7B3D0B773BA5BB1D0A7F7ACE3B56FBCFAC079B4C1A5BDA6A8AA47B3F8A769AED1AEE6A6A15FC5DEBFE8B35DAD702E6

Size: px
Start display at page:

Download "<4D F736F F D20AAFEA5F3312D2DB1D0BEC7B3D0B773BA5BB1D0A7F7ACE3B56FBCFAC079B4C1A5BDA6A8AA47B3F8A769AED1AEE6A6A15FC5DEBFE8B35DAD702E6"

Transcription

1 中國文化大學教師教學創新暨教材研發獎勵期末成果報告書 壹 計畫名稱 英文計畫名稱 : Fundamental Design of Combinational and Sequential Logic Circuit 中文計畫名稱 : 組合電路與序列電路基礎設計 貳 實施課程 授課教師姓名 課程名稱 : 邏輯設計 (Logic Desgin) 開課系級 : 電機工程學系一年級授課教師 : 逄霖生電子郵件 : pls@faculty.pccu.edu.tw 參 前言 組合電路與序列電路是數位電路的基礎, 台灣在世界的工業鏈中, 在數位設計的能力有目共睹, 這個領域需要的相關科技人才, 必須有組合電路與序列電路的知識 電機系在此專業領域特別重視相關的技術與知識 唯有組合電路與序列電路的基本技能十分紮實, 才能有足夠的能力面對更大的挑戰 本教材創新計畫針對基本邏輯知識詳加介紹 : 包含 Boolean logic( 布林邏輯 ), 數位資料表示與實際基本邏輯閘的介紹應用 進階的數位序列電路的原理, 相關的實際電路應用 : 包含正反器 閘極開關 計算器與 Timer 的設計與實際應用的電路 本教材將使用 1

2 Altera 公司發展的 EDA 與 FPGA 硬體, 提供學有實際動手操作的設備, 希望透過專 案設計的方式, 提供學員了解硬體與軟體編寫及燒錄開發設計的過程, 讓學生了 解邏輯設計中數位組合電路與序列電路的設計 肆 計畫特色及具體內容邏輯電路設計與應用是台灣工業的強項, 這個領域需要大量的 3C 相關科技人才 電機工程系的學習領域, 包含了硬體實作與軟體應用, 因此特別適合同學在此項目中發揮所長 但是數位邏輯電路應用基本技能包含邏輯能力的分析, 組合與實際電路應用, 如此才能有足夠的知識挑戰不同的問題 學生也應不斷地吸收新的知識, 來面對計算機工程或數位電路設計日新月異的發展 本教材創新計畫針對基本邏輯知識與進階的數位序列電路的原理詳加介紹 : 內容有布林邏輯 數位資料 基本邏輯閘 數位應用電路 正反器 閘極開關 計算器與 Timer 的設計與實際應用的電路 本教材將使用 Altera 公司發展的 EDA 與 FPGA 硬體, 提供學有實際動手操作的設備, 讓學員有機會了解硬體與軟體編寫及燒錄開發設計的過程, 加強學生對邏輯設計中組合電路與序列電路的瞭解 Combinational Logic Circuit 數位組合電路 Number Systems, Arithmetic, and codes Digital Systems vs. Analog Systems 2

3 Digital Systems vs. Analog Systems Digital System Information is represented and processed by a finite number of discrete digits. Example: Binary Strings of 1 s and 0 s are used to represent information. Analog System Information is represented and processed along a continuum. Example: Consider making measurements with a ruler. Number Systems Positional Number Systems The above is a general form of a power series in radix r. A number N expressed in base-r system has coefficients multiplied by powers of r: N= d n 1 * r n 1 + d n 2 * r n d 1 * r 1 + d 0 * r 0 + d 1 * r -1 + d 2 * r d m * r -m Binary Arithmetic Binary Number Two discrete values are used in digital systems only. The values of a binary number could be False/True Low/High 0/1 Yes/No Go/No Go Ternary Arithmetic 3

4 Ternary Number Similar to the binary number, but the number of ternary number includes {0, 1, 2}. There are total three digital numbers to represent ternary system. Binary and Decimal Number Base Conversions Decimal to Binary Conversion A decimal number N, indicating as i.f (i.e ), includes two parts: the first part is integer part, i, and the second part is fractional part, f. The procedures of decimal number to binary conversion are shown as follows: 1. Convert integer part 2. Convert fractional part 3. Combine integer and fractional parts together Polynomial Method of Number Conversion (Givone Text Book) The number N (r1) of Base-r1 N (r1) = (d n-1 d n-2 d 1 d 0. d -1 d -m ) (r1),0 <= d i <= (r1-1) N (r1) = d n-1(r1) * r 1 n-1 (r1) + d n-2(r1) * r 1 n-2 (r1) + + d 0(r1) * r 1 0 (r1) + d -1(r1) * r 1-1 (r1) + + d m(r1) * r 1 -m (r1) = d n-1(r1) * 10 n-1 (r1) + d n-2(r1) * 10 n-2 (r1) + + d 0(r1) * 10 0 (r1) + d -1(r1) * 10-1 (r1) + + d -m(r1) * 10 -m (r1) Note the quantity of 2 in binary is represent by 10 (2) Note the quantity of 3 in ternary is represent by 10 (3) The number N (r2) of Base-r2 N (r2) = d n-1(r2) * r 1 n-1 (r2) + d n-2(r2) * r 1 n-2 (r2) d m(r2) * r 1 -m (r2) N (r1) is converted into of N (r2) Iterative method of number conversion Iterative method of converting integer (Givone Text Book) To convert an integer in base r 1 into its equivalent integer in r 2. Divide the number by r 2, then the remainder is the 0-th order digit. Repeat the previous step to get 1st order digit, Repeat the division process of r 2 until the remainder is zero. Signed and Unsigned Numbers 4

5 Signed Numbers Signed numbers denote whether the magnitudes is positive or negative. The form to show a singed number is called as sign-magnitude representation. The sign-magnitude representation includes the following methods: Proceeding with signed symbol, (+) or (-). (used in regular number representation) In digital system, it utilizes the binary digit 0 to denote the plus sign and the binary digit 1 to denote the minus sign. Complements of Number Complement notation The complement notation uses the most significant bit represents the sign bit, indicating whether the number is positive or negative. Overflow in unsigned and signed number Overflow the binary number operations need n + 1 digit to do n digit number operations. Floating number (IEEE-754 standard) 5

6 5-4 浮點數的表示法 浮點數表示法 不同 CPU 雖有其各自的浮點數表示法, 但一般較常採用 IEEE 協會所訂定的浮點數表示法標準 ( IEEE Standa rd 754), 其分成單精確度 (Single Precision) 及雙精確度 (Double Precision) 二種 兩者的差別在於單精確度是以 32 個位元來表示浮點數, 雙精確度則是用 64 位元表示 50 Binary Codes (BCD Codes) Decimal Codes BCD Binary Coded Decimal Represents decimal digits 0 9 It will need 4 binary digits to represent ten numbers, but leaves 6 combinations un-used. Weighted Codes Positional of number indicates weight (w 3 w 2 w 1 w 0 ) A number N = w 3* b 3 +w 2* b 2 +w 1* b 1 +w 0* b 0 BCD codes include several different forms, such as 8421, 2421, 5421, 7536, codes. Unit-Distance Codes Unit-Distance Codes Only a single bit changes between any two successive coded integers The most popular of the unit distance codes are the Gray codes (named after their inventor Frank Gray). Angular position encoders. (a) Conventional binary encoder. (b) Gray code encoder. ASCII & Unicode 6

7 Error-Detection Codes (Parity Code) Error Correction Codes (Hamming Code) 7

8 BOOLEAN ALGEBRA AND COMBINATIONAL NETWORKS Introduction to Boolean Algebra Theorems & Postulates of Boolean Algebra Two-Valued Boolean Algebra 8

9 Boolean Formulas and Functions The Truth Table Canonical Formulas of Boolean Algebra & Manipulations of Boolean Formulas Canonical Forms 9

10 Gates and Combinational Networks Incomplete Boolean Functions and Don't-Care Conditions 10

11 Additional Boolean Operations and Gates Physical Properties of Logic Gates Simplification of Boolean Expressions 11

12 Prime Implicates and Irredundant Conjunctive Expressions KARNAUGH MAPS Using Karnaugh Maps to Obtain Minimal Expressions for Complete Boolean Functions 12

13 Minimal Expression of Incomplete Boolean Functions Five-variable and Six-variable Karnaugh Maps 13

14 The Quine-McCluskey Method of Generating Prime Implicants and Prime Implicates Decimal Method for Obtaining Prime Implicants LOGIC DESIGN WITH MSI COMPONENTS AND PROGRAMMABLE LOGIC DEVICES Binary Adders and Subtracter 14

15 Decimal Adders & Comparators Decoders & Encoders Multiplexers 15

16 Programmable Components & Programmable Logic Devices (PLDs) Programmable Read-Only Memory (PROMs) & Programmable Logic Arrays (PLAs) Programmable Array Logic (PAL) Devices 16

17 Sequential Logic Circuit 數位序列電路 Flip-Flops and Simple Flip-Flop Applications The Basic Bistable Element Latches & Progagation Delays 17

18 Master-Slave SR Flip-Flops (Pulse-Triggered Flip-Flops) The Master-Slave SR Flip-Flop 18

19 The Master-Slave JK Flip-Flop The Master-Slave D Flip-Flop 19

20 The Master-Slave T Flip-Flop Edge-Triggerred SR/JK/F/T Flip-Flop Characteristic Equations 20

21 Registers Counters 21

22 伍 實施成效及影響 ( 量化及質化 ) 實施成效 : 1. 學員數 : 102 人 2. 上課時數 : 18 小時 3. 作業次數 : 10 次作業 4. 數位電路範例 : 10 種 5. 作業完成率 : 782/1020=77% 6. 未完成率 : 238/1020=23% 7. 硬體程式語 : Schematic Layout 8. CAD 設計硬體 : Altera Quartus II v 作業繳交明細表 22

23 學員作業作業作業作業作業作業作業作業作業作業學號姓名排序 #1 #2 #3 #4 #5 #6 #7 #8 #9 #10 1 N/A N/A N/A N/A N/A N/A 1 x N/A N/A 1 1 x x x x x x x x 5 N/A N/A N/A N/A N/A N/A x x 2 8 N/A N/A x 2 2 x 2 x 2 9 N/A N/A 1 x x x x x x x x x 10 N/A N/A 1 x x x x x x x x x 11 N/A N/A x 1 x x x x x x x x 12 N/A N/A x N/A N/A 1 1 x 3 x 1 x x 3 x 14 N/A N/A x N/A N/A 1 1 x x x x x x x x 16 N/A N/A 1 1 x x x x x x x x 17 N/A N/A N/A N/A 1 1 x N/A N/A x x N/A N/A x x x x 2 x x 21 N/A N/A 1 1 x x x x x x x x 22 N/A N/A 1 1 x 3 2 x x x N/A N/A 1 1 x 1 x x x x 1 x 24 N/A N/A 1 x x x x N/A N/A N/A N/A 1 x x x x x x x x x 27 N/A N/A x x x 2 3 x 28 N/A N/A x 1 x x 1 x 1 29 N/A N/A x x x x 2 x x 30 N/A N/A x x x x x x x x x x 31 N/A N/A x x

24 32 N/A N/A 1 1 x x x x x x x x 33 N/A N/A 1 1 x x x x x x x x 34 N/A N/A 1 1 x N/A N/A N/A N/A x N/A N/A N/A N/A 1 1 x 3 x x x x 3 x 39 N/A N/A x x x x 1 x x 40 N/A N/A 1 1 x x x x x x x x 41 N/A N/A N/A N/A 1 x 2 x x 1 x 2 x x 43 N/A N/A x 1 x 2 3 x 44 N/A N/A 1 x x 3 x x x x 3 x 45 N/A N/A x N/A N/A 1 x x x x x x x x x 47 N/A N/A x x x x x x x x x x 48 N/A N/A x x x 1 3 x 49 N/A N/A 1 1 x 3 2 x x x N/A N/A x x x N/A N/A x x x 52 N/A N/A N/A N/A N/A N/A N/A N/A x 2 x x 2 x 2 56 N/A N/A x x 57 N/A N/A N/A N/A x 1 x 1 1 x 59 N/A N/A x x x 2 1 x x 60 N/A N/A x x N/A N/A x 1 2 x 2 x 1 2 x 2 62 N/A N/A N/A N/A N/A N/A N/A N/A x 2 2 x N/A N/A x x N/A N/A N/A N/A x x x 2 2 x x 24

25 69 N/A N/A x 2 2 x 2 x 2 70 N/A N/A 1 x x x x x x x x x 71 N/A N/A N/A N/A x x x x x x x x x x 73 N/A N/A 1 x 2 x 2 2 x 2 x 2 74 N/A N/A x x x x N/A N/A N/A N/A N/A N/A x x N/A N/A x 2 x 1 3 x 79 N/A N/A 1 1 x 3 x 2 2 x 3 x 80 N/A N/A x x x x 2 x x 81 N/A N/A x 2 x 2 3 x 82 N/A N/A x N/A N/A x 2 x 1 3 x 84 N/A N/A x 2 x 1 3 x 85 N/A N/A x N/A N/A x N/A N/A x x N/A N/A x N/A N/A x 1 1 x x x x 1 x x 90 N/A N/A x N/A N/A x x x x x x x x x x 92 N/A N/A x x 1 x x x x 1 x x 93 N/A N/A 1 x 2 3 x x 94 N/A N/A 1 x N/A N/A x x x 96 N/A N/A N/A N/A x x x 98 N/A N/A x 2 2 x 2 x 2 99 N/A N/A x 1 x N/A N/A x x 1 x 1 2 x 1 x N/A N/A x 2 x 2 3 x 102 N/A N/A x x 2 x

26 實施影響 : 對組合電路與序列電路的了解與實作有實際操作的機會, 讓學員設計數位基本元件, 同時亦了解設計時程式碼的編排, 並觀察軟體設計與硬體電路的連繫 為讓學員對此部分有更深刻的理解, 本教材特別對數位電路模擬 (simulation) 提出許多的範例與說明, 包括了大量的時序圖 (timing diagram), 寄望由這些例證, 能了解數位系統設計的基本原理, 進而發展硬體設計的能力, 提供學員在數位領域的競爭力, 進入職場後能更快速地融入工作, 追求自我生涯的更高層境界 陸 結論組合電路與序列電路對數位晶片與數位電路的了解與實作有很大的助益 透過有系統的教材資料, 針對各種不同的數位電路元件, 解說相對應的實際範例, 可以讓學員同時複習元件的基本運作原理, 同時亦了解設計時數位電路的基本原理, 並同時可觀察瞭解軟硬體的設計與其間的連接 對大部份的初學者, 數位訊號如何傳遞與在不同時間 (timing) 時訊號如何改變, 更對於訊號間如何互相影響, 無法立刻理解 為讓學員對此部分有更深刻的理解, 本教材特別對數位電路模擬 (simulation) 提出許多的範例與說明, 包括了大量的時序圖 (timing diagram), 寄望由這些例證, 能了解組合電路與序列電路的基本原則, 進而發展硬體設計的能力, 提供學員在數位領域的競爭力, 進入職場後能更快 26

27 速地融入工作, 追求自我生涯的更高層境界 柒 執行計畫活動照片 27

28 28

29 29

30 捌 附件 光碟片 備註 : 1. 本報告書大綱得視需要自行增列項目 2. 成果報告書須另以光碟儲存, 並附加執行計畫活動照片電子檔 30

Microsoft PowerPoint - STU_EC_Ch02.ppt

Microsoft PowerPoint - STU_EC_Ch02.ppt 樹德科技大學資訊工程系 Chapter 2: Number Systems Operations and Codes Shi-Huang Chen Sept. 2010 1 Chapter Outline 2.1 Decimal Numbers 2.2 Binary Numbers 2.3 Decimal-to-Binary Conversion 2.4 Binary Arithmetic 2.5

More information

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D>

<4D6963726F736F667420506F776572506F696E74202D20B5DAD2BBD5C228B4F2D3A1B0E6292E707074205BBCE6C8DDC4A3CABD5D> Homeworks ( 第 三 版 ):.4 (,, 3).5 (, 3).6. (, 3, 5). (, 4).4.6.7 (,3).9 (, 3, 5) Chapter. Number systems and codes 第 一 章. 数 制 与 编 码 . Overview 概 述 Information is of digital forms in a digital system, and

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

SuperMap 系列产品介绍

SuperMap 系列产品介绍 wuzhihong@scu.edu.cn 3 / 1 / 16 / John M. Yarbrough: Digital Logic Applications and Design + + 30% 70% 1 CHAPTER 1 Digital Concepts and Number Systems 1.1 Digital and Analog: Basic Concepts P1 1.1 1.1

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

Microsoft PowerPoint - STU_EC_Ch04.ppt

Microsoft PowerPoint - STU_EC_Ch04.ppt 樹德科技大學資訊工程系 Chapter 4: Boolean Algebra and Logic Simplification Shi-Huang Chen Fall 200 Outline Boolean Operations and Expressions Laws and Rules of Boolean Algebra DeMorgan's Theorems Boolean Analysis

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

ENGG1410-F Tutorial 6

ENGG1410-F Tutorial 6 Jianwen Zhao Department of Computer Science and Engineering The Chinese University of Hong Kong 1/16 Problem 1. Matrix Diagonalization Diagonalize the following matrix: A = [ ] 1 2 4 3 2/16 Solution The

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

LectureSlides1001 Data Storage.ppt [相容模式]

LectureSlides1001 Data Storage.ppt [相容模式] (continued) 1.6 Storing Integers 1.7 Storing Fractions 1.8 Data Compression 1.9 Communications Errors 1-3 1.1 Bits and Their Storage 1.2 Main Memory 1.3 Mass Storage 1.4 Representing Information as Bit

More information

untitled

untitled Co-integration and VECM Yi-Nung Yang CYCU, Taiwan May, 2012 不 列 1 Learning objectives Integrated variables Co-integration Vector Error correction model (VECM) Engle-Granger 2-step co-integration test Johansen

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

Chapter 3

Chapter 3 Chapter 3 Arithmetic for Computers 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. C.T. King, NTHU, Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 3.2 Addition & Subtraction p.67 ( 頁 69)

More information

Microsoft PowerPoint - lecture4--Signal Processing on DSPs.ppt

Microsoft PowerPoint - lecture4--Signal Processing on DSPs.ppt Signal Processing on DSP Platforms Lecture Outline Arithmetic Operations on C54x DSP Signal Processing on DSP Real-time Signal Processing on DSP * Please Refer to TMS320C54x Reference Set, Vol4: Applications

More information

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d

Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies to all d WeChat Search Visual Identity Guidelines WEDESIGN 2018. 04 Preface This guide is intended to standardize the use of the WeChat brand and ensure the brand's integrity and consistency. The guide applies

More information

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt

Microsoft PowerPoint - CA_02 Chapter5 Part-I_Single _V2.ppt Chapter5- The Processor: Datapath and Control (Single-cycle implementation) 臺大電機系吳安宇教授 V. 3/27/27 V2. 3/29/27 For 27 DSD Course 臺大電機吳安宇教授 - 計算機結構 Outline 5. Introduction 5.2 Logic Design Conventions 5.3

More information

LEETCODE leetcode.com 一 个 在 线 编 程 网 站, 收 集 了 IT 公 司 的 面 试 题, 包 括 算 法, 数 据 库 和 shell 算 法 题 支 持 多 种 语 言, 包 括 C, C++, Java, Python 等 2015 年 3 月 份 加 入 了 R

LEETCODE leetcode.com 一 个 在 线 编 程 网 站, 收 集 了 IT 公 司 的 面 试 题, 包 括 算 法, 数 据 库 和 shell 算 法 题 支 持 多 种 语 言, 包 括 C, C++, Java, Python 等 2015 年 3 月 份 加 入 了 R 用 RUBY 解 LEETCODE 算 法 题 RUBY CONF CHINA 2015 By @quakewang LEETCODE leetcode.com 一 个 在 线 编 程 网 站, 收 集 了 IT 公 司 的 面 试 题, 包 括 算 法, 数 据 库 和 shell 算 法 题 支 持 多 种 语 言, 包 括 C, C++, Java, Python 等 2015 年 3 月 份

More information

Microsoft PowerPoint _代工實例-1

Microsoft PowerPoint _代工實例-1 4302 動態光散射儀 (Dynamic Light Scattering) 代工實例與結果解析 生醫暨非破壞性分析團隊 2016.10 updated Which Size to Measure? Diameter Many techniques make the useful and convenient assumption that every particle is a sphere. The

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt

Microsoft PowerPoint - CA_03 Chapter5 Part-II_multi _V1.ppt Chapter5-2 The Processor: Datapath and Control (Multi-cycle implementation) 臺大電機系 吳安宇教授 V1. 03/27/2007 For 2007 DSD Course 臺大電機吳安宇教授 - 計算機結構 1 Outline 5.1 Introduction 5.2 Logic Design Conventions 5.3

More information

spss.doc

spss.doc SPSS 8 8.1 K-Means Cluster [ 8-1] 1962 1988 8-1 2 5 31 3 7 20 F2-F3 2 3 F3-F4 3 4 109 8 8-1 2 3 2 3 F2-F3 F3-F4 1962 344 3333 29 9 9.69 1.91 1963 121 1497 27 19 12.37 1.34 1964 187 1813 32 18 9.70 1.06

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candi

Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candi U17 10220 UDC624 Thesis for the Master degree in Engineering Research on Negative Pressure Wave Simulation and Signal Processing of Fluid-Conveying Pipeline Leak Candidate:Chen Hao Tutor: Xue Jinghong

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

地質調査研究報告/Bulletin of the Geological Survey of Japan

地質調査研究報告/Bulletin of the Geological Survey of Japan Shigeru Suto, Takayuki Inomata, Hisashi Sasaki and Sakae Mukoyama (2007) Data base of the volcanic ash fall distribution map of Japan. Bull. Geol. Surv. Japan, vol. 58(9/10), p.261-321, 8 figs, 2 tables,

More information

C/C++语言 - C/C++数据

C/C++语言 - C/C++数据 C/C++ C/C++ Table of contents 1. 2. 3. 4. char 5. 1 C = 5 (F 32). 9 F C 2 1 // fal2cel. c: Convert Fah temperature to Cel temperature 2 # include < stdio.h> 3 int main ( void ) 4 { 5 float fah, cel ;

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

untitled

untitled 2005 8 2004 72 2005 2005-08 1. 1 2. 5 3. 9 4. 17 5. 20 6. C 25 7. 30 8. 35 9. 38 10. 41 11. 44 12. 48 13. 53 14. 62 15. EDA 65 16. 69 17. DSP 73 18. MATLAB 75 19. 78 20. 81 21. 85 22. 90 23. 93 24. 96

More information

3.1 num = 3 ch = 'C' 2

3.1 num = 3 ch = 'C' 2 Java 1 3.1 num = 3 ch = 'C' 2 final 3.1 final : final final double PI=3.1415926; 3 3.2 4 int 3.2 (long int) (int) (short int) (byte) short sum; // sum 5 3.2 Java int long num=32967359818l; C:\java\app3_2.java:6:

More information

iiiC.Electronic Components.Database

iiiC.Electronic Components.Database MF-01-C-04-06-FC-00 Bandwidth9, Inc. METROFLEX TUNABLE OPTICAL TRANSMITTER MF-01-C-04-06-FC-01 Bandwidth9, Inc. METROFLEX TUNABLE OPTICAL TRANSMITTER MF-01-C-04-06-LC-01 Bandwidth9, Inc. METROFLEX TUNABLE

More information

Microsoft PowerPoint - STU_EC_Ch12_new.ppt

Microsoft PowerPoint - STU_EC_Ch12_new.ppt 樹德科技大學資訊工程系 Chapter 1: Signal Interfacing and Processing Shi-Huang Chen Fall 010 1 Outline Digital Signal Processing Basics Converting Analog Signals to Digital Analog-to-Digital Conversion (ADC) Methods

More information

* RRB *

* RRB * *9000000000RRB0010040* *9000000000RRB0020040* *9000000000RRB0030040* *9000000000RRB0040040* *9000000000RRC0010050* *9000000000RRC0020050* *9000000000RRC0030050* *9000000000RRC0040050* *9000000000RRC0050050*

More information

Microsoft Word - 最新正文.doc

Microsoft Word - 最新正文.doc 9 21 1.1.1 1.1.2 1 2 2 Windows 7+Office 2010 3 4 5 6 4 7 1.1.3 5 1.1.4 1 3 2 NII 1993 3 CNNIC 2014 1 16 33 1 2013 12 6.18 5358 45.8% 2012 3.7 2 2013 12 5 19.1% 2012 74.5% 81.0% 2013 3G 2013 12 2.47 2012

More information

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63>

<4D6963726F736F667420576F7264202D2032303130C4EAC0EDB9A4C0E04142BCB6D4C4B6C1C5D0B6CFC0FDCCE2BEABD1A15F325F2E646F63> 2010 年 理 工 类 AB 级 阅 读 判 断 例 题 精 选 (2) Computer mouse How does the mouse work? We have to start at the bottom, so think upside down for now. It all starts with mouse ball. As the mouse ball in the bottom

More information

untitled

untitled 數 Quadratic Equations 數 Contents 錄 : Quadratic Equations Distinction between identities and equations. Linear equation in one unknown 3 ways to solve quadratic equations 3 Equations transformed to quadratic

More information

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工 2014 年 电 气 工 程 及 其 自 动 化 专 业 教 学 质 量 年 度 报 告 1 专 业 发 展 概 况 ( 包 括 专 业 发 展 历 程 专 业 办 学 的 经 费 投 入 图 书 资 料 实 室 等 基 本 教 学 条 件 在 校 学 生 数 生 师 比 一 志 愿 录 取 率 新 生 报 到 率 学 生 转 入 和 转 出 人 数 ) 上 海 师 范 大 学 信 息 与 机 电

More information

Microsoft Word - 24217010311110028谢雯雯.doc

Microsoft Word - 24217010311110028谢雯雯.doc HUAZHONG AGRICULTURAL UNIVERSITY 硕 士 学 位 论 文 MASTER S DEGREE DISSERTATION 80 后 女 硕 士 生 择 偶 现 状 以 武 汉 市 七 所 高 校 为 例 POST-80S FEMALE POSTGRADUATE MATE SELECTION STATUS STUDY TAKE WUHAN SEVEN UNIVERSITIES

More information

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx

Microsoft PowerPoint - IAS 21 - IFRS宣導會.pptx IAS 21 Nov 19, 2010 Agenda Page 1 1 2 4 3 11 4 17 5 IFRS 23 Section 1 Section 1 WHY IAS 21? IAS 21 2 Section 1 Determination Functional Currency Presentation Currency First Time Adoption IFRS IAS 21 2

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF The Study on the New Pension Scheme for Civil Servants Evidence from Kaohsiung County I II 1. III Thesis Abstract Title of Thesis The Study on the New Pension Scheme for Civil Servants: Evidence from Kaohsiung

More information

untitled

untitled 2005 8 2004 72 2005 2005-08 1. 1 2. 4 3. 9 4. C 12 5. 17 6. 20 7. 25 8. 28 9. 31 10. 34 11. 37 12. 41 13. 44 14. 50 15. 54 16. EDA 57 17. 60 18. 64 19. MATLAB 67 20. 70 21. 73 22. 76 23. 81 24. SDH 84

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt 微算機系統第三章 Arithmetic for Computers 陳伯寧教授電信工程學系國立交通大學 chap3-1 Arithmetic Where we've been: Abstractions: Instruction Set Architecture Assembly Language and Machine Language What's up ahead: Implementing

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

Microsoft Word - ch05note_1210.doc

Microsoft Word - ch05note_1210.doc Section 5. Antiderivatives and indefinite integrals 反 導 函 數 與 不 定 積 分 上 一 章 我 們 已 經 學 過 微 分 以 及 它 的 應 用 現 在 我 們 考 慮 反 向 的 過 程, 稱 為 積 分 (antidifferentiation), 給 定 一 個 導 函 數, 找 出 它 原 始 的 函 數 積 分 也 有 許 多

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

2015 Chinese FL Written examination

2015 Chinese FL Written examination Victorian Certificate of Education 2015 SUPERVISOR TO ATTACH PROCESSING LABEL HERE Letter STUDENT NUMBER CHINESE FIRST LANGUAGE Written examination Monday 16 November 2015 Reading time: 11.45 am to 12.00

More information

C C

C C C C 2017 3 8 1. 2. 3. 4. char 5. 2/101 C 1. 3/101 C C = 5 (F 32). 9 F C 4/101 C 1 // fal2cel.c: Convert Fah temperature to Cel temperature 2 #include 3 int main(void) 4 { 5 float fah, cel; 6 printf("please

More information

National Taiwan Ocean University Error Correcting Codes Spring 2003 Lecture 6: CRC Codes and BCH Codes National Taiwan Ocean University Announcement C

National Taiwan Ocean University Error Correcting Codes Spring 2003 Lecture 6: CRC Codes and BCH Codes National Taiwan Ocean University Announcement C Error Correcting Codes Spring 2003 Lecture 6: CRC Codes and BCH Codes Announcement Course webpage: http://www.gct.ntou.edu.tw/dcstl/web/ecc.htm Textbook webpage: http://www.eccpage.com Reading Assignment:

More information

20220053 电 工 技 术 3 学 分 48 学 时 Electrical Engineering 课 程 内 容 主 要 有 以 下 五 方 面 : 电 路 理 论 : 电 路 的 基 本 定 律 定 理 及 分 析 计 算 方 法, 正 弦 交 流 电 路, 三 相 电 路, 非 正 弦

20220053 电 工 技 术 3 学 分 48 学 时 Electrical Engineering 课 程 内 容 主 要 有 以 下 五 方 面 : 电 路 理 论 : 电 路 的 基 本 定 律 定 理 及 分 析 计 算 方 法, 正 弦 交 流 电 路, 三 相 电 路, 非 正 弦 电 机 工 程 与 应 用 电 子 技 术 系 00220012 高 档 单 片 机 原 理 及 应 用 2 学 分 32 学 时 The Principles and Application of Advanced Single-Chip Computer 本 课 程 受 日 本 瑞 萨 科 技 公 司 资 助 开 设, 主 要 介 绍 瑞 萨 公 司 出 品 的 32 位 单 片 机 SH7709

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx

Microsoft PowerPoint - Performance Analysis of Video Streaming over LTE using.pptx ENSC 427 Communication Networks Spring 2016 Group #2 Project URL: http://www.sfu.ca/~rkieu/ensc427_project.html Amer, Zargham 301149920 Kieu, Ritchie 301149668 Xiao, Lei 301133381 1 Roadmap Introduction

More information

3 (s05q6) The diagram shows the velocity-time graph for a lift moving between floors in a building. The graph consists of straight line segments. In t

3 (s05q6) The diagram shows the velocity-time graph for a lift moving between floors in a building. The graph consists of straight line segments. In t Mechnics (9709) M1 Topic 1 : s-t and v-t graph(9) Name: Score: Time: 1 (s03q3) The diagram shows the velocity-time graphs for the motion of two cyclists P and Q, whotravel in the same direction along a

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft Word - Final Exam Review Packet.docx

Microsoft Word - Final Exam Review Packet.docx Do you know these words?... 3.1 3.5 Can you do the following?... Ask for and say the date. Use the adverbial of time correctly. Use Use to ask a tag question. Form a yes/no question with the verb / not

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

Achieving One TeraFLOPS with 28-nm FPGAs

Achieving One TeraFLOPS with 28-nm FPGAs 28nm FPGA TeraFLOPS WP011421.0 DSP 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera ALTERA ARRIA CYCLONE HARDCOPY MAX MEGACORE NIOS QUARTUS STRATIX Altera www.altera.com/common/legal.html

More information

C/C++ - 函数

C/C++ - 函数 C/C++ Table of contents 1. 2. 3. & 4. 5. 1 2 3 # include # define SIZE 50 int main ( void ) { float list [ SIZE ]; readlist (list, SIZE ); sort (list, SIZE ); average (list, SIZE ); bargragh

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

PowerPoint Presentation

PowerPoint Presentation Linear Progamming- the Simple method with greater-than-or-equal-to or equality minimization problem Quantitative deciion making technique /5/6 Tableau form- dealing with greaterthan-or-equal-to contraint

More information

第1章 簡介

第1章 簡介 EAN.UCCThe Global Language of Business 4 512345 678906 > 0 12345 67890 5 < > 1 89 31234 56789 4 ( 01) 04601234567893 EAN/UCC-14: 15412150000151 EAN/UCC-13: 5412150000161 EAN/UCC-14: 25412150000158 EAN/UCC-13:

More information

C/C++程序设计 - 字符串与格式化输入/输出

C/C++程序设计 - 字符串与格式化输入/输出 C/C++ / Table of contents 1. 2. 3. 4. 1 i # include # include // density of human body : 1. 04 e3 kg / m ^3 # define DENSITY 1. 04 e3 int main ( void ) { float weight, volume ; int

More information

Stochastic Processes (XI) Hanjun Zhang School of Mathematics and Computational Science, Xiangtan University 508 YiFu Lou talk 06/

Stochastic Processes (XI) Hanjun Zhang School of Mathematics and Computational Science, Xiangtan University 508 YiFu Lou talk 06/ Stochastic Processes (XI) Hanjun Zhang School of Mathematics and Computational Science, Xiangtan University hjzhang001@gmail.com 508 YiFu Lou talk 06/04/2010 - Page 1 Outline 508 YiFu Lou talk 06/04/2010

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

<4D6963726F736F667420506F776572506F696E74202D2030322DB1D0AE76B77CBEC7ABE4B946B1D0BEC7A4C0A8C928A764A7D3AB69292E70707478>

<4D6963726F736F667420506F776572506F696E74202D2030322DB1D0AE76B77CBEC7ABE4B946B1D0BEC7A4C0A8C928A764A7D3AB69292E70707478> 我 所 知 道 的 教 學 翻 轉 以 學 思 達 教 學 操 作 為 例 宜 蘭 高 中 吳 勇 宏 壹 為 什 麼 教 學 需 要 改 變? 一 段 影 片 的 思 考 一 段 泰 國 的 形 象 廣 告.mp4 思 考 : 1. 影 片 中, 小 女 孩 遇 到 了 哪 些 困 難? 母 親 如 何 幫 助 她? 2. 若 將 影 片 中 母 親 與 小 女 孩 代 換 為 老 師 與 學 生,

More information

Microsoft Word - ChineseSATII .doc

Microsoft Word - ChineseSATII .doc 中 文 SAT II 冯 瑶 一 什 么 是 SAT II 中 文 (SAT Subject Test in Chinese with Listening)? SAT Subject Test 是 美 国 大 学 理 事 会 (College Board) 为 美 国 高 中 生 举 办 的 全 国 性 专 科 标 准 测 试 考 生 的 成 绩 是 美 国 大 学 录 取 新 生 的 重 要 依

More information

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库

Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 厦门大学博硕士论文摘要库 Research for RS encoding and decoding technology in the Digital Television Terrestrial Broadcasting System 2006 DMB-T RS DMB-T DMB-T RS(208,188) RS BM RS : 1 RS RS RS FPGA RS 2 RS 3 BM 4 matlab RS RS

More information

2007 CS Part 05: (ONO, Kouichi)

2007 CS Part 05: (ONO, Kouichi) 2007 CS Part 05: (ONO, Kouichi) onono@computer.org , (expression, formula) (arithmetic expression) (logical expression, logic formula) CS (operator) ( ) (0 ) ( ) CS ( ) (arity) (unary operator) (!) (binary

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

數學導論 學數學 前言 學 學 數學 學 數學. 學數學 論. 學,. (Logic), (Set) 數 (Function)., 學 論. 論 學 數學.,,.,.,., 論,.,. v Chapter 1 Basic Logic 學 數學 學 言., logic. 學 學,, 學., 學 數學. 數學 論 statement. 2 > 0 statement, 3 < 2 statement

More information

1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用 () 精緻教育的學校特色 提升行政效率 發揮有效人力的整體力量 達成精緻大學的師資結構 勵應用科技的研發能力 在策略執行上

1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用 () 精緻教育的學校特色 提升行政效率 發揮有效人力的整體力量 達成精緻大學的師資結構 勵應用科技的研發能力 在策略執行上 2012 1 2 1 2 E-mailhsiaoen@mail.chna.edu.tw; mshchen@mail.chna.edu.tw ()() 97 98 101 2011 1 848 6 2010 12 76 508 59 84.6430 71.5363 1.1 1 () 擴展學習領域 () () 力求卓越創新 發皇通識教育 厚植職場發展的競爭能力 拓展國際交流 e 把握資訊網路的科技應用

More information

2008年1月11日に岩手県釜石沖で発生した地震(M4.7)について

2008年1月11日に岩手県釜石沖で発生した地震(M4.7)について 2008 1 11 M4.7 On the M4.7 earthquake off Kamaishi, Iwate prefecture, Japan, on January 11, 2008. Graduate School of Science, Tohoku University 2008 1 11 M4.7 Matsuzawa et al. (2002) M-T M4.9 23Hz DD Waldhauser

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER SSeries Coaxial shaft series Features S series Standard backlash is 3 arc-min, ideal for precision control. High rigidity & high torque were achived by uncaged needle roller

More information

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp

天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Exp 天 主 教 輔 仁 大 學 社 會 學 系 學 士 論 文 小 別 勝 新 婚? 久 別 要 離 婚? 影 響 遠 距 家 庭 婚 姻 感 情 因 素 之 探 討 Separate marital relations are getting better or getting worse? -Explore the impact of emotional factors couples do not

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

IEC 传输帧格式

IEC 传输帧格式 IEC 60870-5-1 GB GB/T XXXXX XXXX idt IEC 60870-5-1:1990 Telecontrol Equipment and Systems Part 5:Transmission Protocol Section 1: Transmission frame formats ( ) 1998.6.28 2000.2.10 2000.5.7 200x-xx-xx

More information

龍華科技大學數位典藏論文

龍華科技大學數位典藏論文 龍 華 科 技 大 學 電 子 工 程 研 究 所 碩 士 學 位 論 文 使 用 FPGA 完 成 低 成 本 霍 夫 曼 碼 解 碼 器 Using FPGA Hardware Implementation of Huffman Decoder 研 究 生 : 周 文 正 指 導 教 授 : 吳 東 旭 博 士 中 華 民 國 九 十 九 年 七 月 摘 要 論 文 名 稱 : 使 用 FPGA

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

SHIMPO_表1-表4

SHIMPO_表1-表4 For servo motor ABLEREDUCER L Series Features Coaxial shaft series L series Helical gears contribute to reduce vibration and noise. Standard backlash is 5 arc-min, ideal for precision control. High rigidity

More information

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt

Microsoft PowerPoint - C15_LECTURE_NOTE_05.ppt 8088/8086 MICROPROCSOR PROGRAMMING INTEGER INSTRUCTIONS AND COMPUTATIONS The MOVE The move (MOV) instruction is used to transfer a byte or a word of data from a source operand to a destination operand

More information

林教授2.PDF

林教授2.PDF 83 Taiwan Congress Function and Trend After Embellishing Constitute LinSuei - gie Abstract National Assembly becomes to be the non-permanent conference aimed at the particular assignments. For this reason,

More information

Microsoft Word - 結案報告.doc

Microsoft Word - 結案報告.doc 2 3 4 5 ~ 6 1. 2. 3. 4. 7 ~ 8 9 ~ 10 11 12 13 14 15 96年原住民族電視節目增製計畫 結案報告 五 執行方式 一 甄試過程照片 16 17 18 夣 19 20 21 22 23 24 25 96年原住民族電視節目增製計畫 結案報告 26 27 28 . 29 30 31 32 33 . 34 . 35 96年原住民族電視節目增製計畫 結案報告 (

More information

\\Lhh\07-02\黑白\内页黑白1-16.p

\\Lhh\07-02\黑白\内页黑白1-16.p Abstract: Urban Grid Management Mode (UGMM) is born against the background of the fast development of digital city. It is a set of urban management ideas, tools, organizations and flow, which is on the

More information

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N

Product Type Batteries (only) Circuit Breatkers & Load Protection Connection Devices Contactors Ethernet Switches, Stratix Switches I/O Modules; PLC N 1201 South Second Street Milwaukee, Wisconsin U.S.A. 53204 Tel 414-382-2000 1 July 2016 RE: China Restriction of Hazardous Substances (RoHS) Dear Customer, Rockwell Automation is committed to demonstrating

More information

硕 士 学 位 论 文 论 文 题 目 : 北 岛 诗 歌 创 作 的 双 重 困 境 专 业 名 称 : 中 国 现 当 代 文 学 研 究 方 向 : 中 国 新 诗 研 究 论 文 作 者 : 奚 荣 荣 指 导 老 师 : 姜 玉 琴 2014 年 12 月

硕 士 学 位 论 文 论 文 题 目 : 北 岛 诗 歌 创 作 的 双 重 困 境 专 业 名 称 : 中 国 现 当 代 文 学 研 究 方 向 : 中 国 新 诗 研 究 论 文 作 者 : 奚 荣 荣 指 导 老 师 : 姜 玉 琴 2014 年 12 月 硕 士 学 位 论 文 论 文 题 目 : 北 岛 诗 歌 创 作 的 双 重 困 境 专 业 名 称 : 中 国 现 当 代 文 学 研 究 方 向 : 中 国 新 诗 研 究 论 文 作 者 : 奚 荣 荣 指 导 老 师 : 姜 玉 琴 2014 年 12 月 致 谢 文 学 是 我 们 人 类 宝 贵 的 精 神 财 富 两 年 半 的 硕 士 学 习 让 我 进 一 步 接 近 文 学,

More information

家装知识(六)

家装知识(六) I...1 SHOW...5...7...9...13...14...17...18...21...24...26...29...31...33...36 2003...37...39...41...42...43...45...48...50 ...52...54...58...60...61...63 10...66...68...73...74...77...81...85...89...91...93...97

More information

OA-253_H1~H4_OL.ai

OA-253_H1~H4_OL.ai WARNINGS Note: Read ALL the following BEFORE using this product. Follow all Guidelines at all times while using this product. CAUTION This warning indicates possibility of personal injury and material

More information

Microsoft Word - 数学软文+数学词汇+数学班广告+水印.docx

Microsoft Word - 数学软文+数学词汇+数学班广告+水印.docx GRE by ( GRE ) GRE ETS ETS ETS GRE OG ETS 200 5 GRE GRE GRE Equilateral triangle Isosceles triangle Equilateral Isosceles GRE GRE 162 163 100 = > < + x 2 x 3 equal to, the same as, is more than less than

More information

Microsoft Word - ICF的編碼指引-new sjl.doc

Microsoft Word - ICF的編碼指引-new  sjl.doc 壹 組 織 和 結 構 ICF 的 編 碼 指 引 1. 分 類 系 統 之 內 容 (Parts of the Classification) (1) ICF 分 類 系 統 分 為 以 下 兩 個 部 份 : 第 一 部 份 由 身 體 功 能 和 身 體 構 造 以 及 活 動 與 參 與 組 成 第 二 部 分 由 環 境 因 素 以 及 個 人 因 素 組 成 (ICF 分 類 系 統 不

More information

WTO

WTO 10384 200015128 UDC Exploration on Design of CIB s Human Resources System in the New Stage (MBA) 2004 2004 2 3 2004 3 2 0 0 4 2 WTO Abstract Abstract With the rapid development of the high and new technique

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

ch_code_infoaccess

ch_code_infoaccess 地 產 代 理 監 管 局 公 開 資 料 守 則 2014 年 5 月 目 錄 引 言 第 1 部 段 數 適 用 範 圍 1.1-1.2 監 管 局 部 門 1.1 紀 律 研 訊 1.2 提 供 資 料 1.3-1.6 按 慣 例 公 布 或 供 查 閱 的 資 料 1.3-1.4 應 要 求 提 供 的 資 料 1.5 法 定 義 務 及 限 制 1.6 程 序 1.7-1.19 公 開 資

More information