修订历史 版本日期原因 V /07/11 创建文档 i

Size: px
Start display at page:

Download "修订历史 版本日期原因 V /07/11 创建文档 i"

Transcription

1 User 硬件使用手册 Manual UM V1.00 Date: 2015/07/11 类别 关键词 摘要 内容 QN9020;QN9021;ZLG9021P0-1; 介绍 ZLG9021P0-1 配套评估板的硬件电路和接口使用说明 广州周立功单片机科技有限公司

2 修订历史 版本日期原因 V /07/11 创建文档 i

3 目录 1. 开发套件简介 产品图片 产品特性 芯片 / 模块简介 QN902x 介绍 ZLG9021P0-1 蓝牙模块介绍 管脚说明 J2/J3 接口管脚说明 J5/J6/J12 接口管脚说明 J1 接口管脚说明 J7/J14 接口管脚说明 J15 接口管脚说明 J17 接口管脚说明 硬件电路设计 电源 USB 转 UART 电路 LED 与按键电路 LM75AD 温度传感器电路 MMA8452Q 三轴加速度传感器电路 FXAS21002 陀螺仪传感器电路 调试接口... 9 附录 A 物料清单 免责声明

4 1. 开发套件简介 是广州周立功单片机科技有限公司为广大企业用户 电子工程师 创客和高校师生精心设计的基于 ZLG9021P0-1 蓝牙模块的评估工具, 方便用户短时间内对 ZLG9021P0-1 蓝牙模块或 NXP QN902x 蓝牙芯片进行测试评估, 加快产品上市 集成 ZLG9021P0-1 蓝牙模块, 并引出该模块的所有引脚, 方便用户评估 针对蓝牙 4.0 应用对功耗有特殊需求的特点, 该评估板将模块电源单独设计并将外围通过短接帽与模块连接, 可以方便用户测试模块功耗和外围功能扩展 同时, 评估板上集成温度传感器 加速度传感器以及预留陀螺仪传感器, 并支持电池供电, 方便用户进行智能设备的开发评估 1.1 产品图片 实物如图 1.1 所示 图 1.1 实物 1.2 产品特性 Mini-USB 供电或电池供电 ; 集成 ZLG9021P0-1 蓝牙模块 ; 集成 LM75AD 温度传感器 ; 集成 MMA8452Q 三轴加速度传感器 ; 预留 FXAS21002 陀螺仪传感器电路 ; 集成按键和 LED; 集成 USB 转串口功能 ; 引出蓝牙模块所有引脚, 方便用户进行评估 ; 双层 PCB 工艺, 尺寸 :100mm 74mm 1

5 2. 芯片 / 模块简介 2.1 QN902x 介绍 QN902x 是一款超低功耗 高性能和高集成性的蓝牙 v4.0 节能 (BLE) 解决方案, 适合于蓝牙智能应用, 例如运动 / 保健 人机接口设备以及支持应用程序的智能配件 它专门针对可穿戴电子器件设计, 并且可以在小容量电池 ( 如纽扣电池 ) 上运行 QN902x 将 BLE 无线电 控制器 协议栈和配置软件集成于单个芯片上, 从而可以灵活 简单地使用 BLE SoC 解决方案 它还包括一个高性能 MCU 和片上存储器, 从而可以支持用户开发单芯片无线 MCU 解决方案 用户还可通过将其连接至应用处理器将 QN902x 用作网络处理器, 以实现更高级应用 其他系统功能包括完全集成的 DC/DC 和 LDO 低功耗睡眠定时器 电池监控器 温度传感器 通用 ADC 和 GPIO, 从而进一步降低了总系统成本和大小 QN902x 的工作电压范围为 2.4 V 至 3.6 V, 并且在所有模式下均具有极低功耗, 从而在电池驱动系统中具有更长的使用寿命, 同时保持出色射频性能 芯片特性 : 真正的单芯片 BLE SoC 解决方案 集成式 BLE 无线电 完整的 BLE 协议栈和应用程序配置文件 支持主机和丛机模式 主机模式下高达 8 个同步链路 射频 -95 dbm RX 灵敏度 ( 非直流 - 直流模式 ) -93 dbm RX 灵敏度 ( 直流 - 直流模式 ) TX 输出功率为 -20 dbm 至 4 dbm 快速 可靠的 RSSI 和通道质量指示 兼容全球射频规定 极低功耗 单电源 (2.4 V 至 3.6 V) 集成式直流 - 直流和 LDO 2 μa 深度睡眠模式 3 μa 睡眠模式 (32 khz RC OSC 开启 ) 9.25 ma Rx 电流 ( 直流 - 直流 ) Tx 功率为 0 dbm 时的 8.8 ma Tx 电流 ( 直流 - 直流 ) 紧凑型 6x6 QFN48 和 5x5 QFN32 封装 微控制器 集成式 32 位 ARM Cortex M0 MCU 64 kb 系统存储器 用户可控制代码保护 高度集成 2

6 4 通道 10 位通用 ADC 两个通用模拟比较器 多达 31 个 GPIO 引脚 GPIO 引脚可用作中断源 4 个通用定时器 32 khz 睡眠定时器 看门狗定时器 带校准功能的实时时钟 2 通道可编程 PWM 两个 SPI/UART 接口 I²C 主 / 从接口 掉电检测器 电池监控和温度传感器 AES-128 安全协处理器 16/32 MHz 晶体振荡器 低功率 32 khz RC 振荡器 khz 晶体振荡器 2.2 ZLG9021P0-1 蓝牙模块介绍 ZLG9021P0-1 是基于 NXP QN9021 蓝牙 Soc 设计的一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备, 如仪器仪表 健康医疗 智能家居 运动计量 汽车电子和休闲玩具等 图 2 ZLG9021P0-1 蓝牙模块 3

7 3. 管脚说明 通过将模块电源单独设计并将外围通过短接帽与模块连接, 可以方便 用户测试模块功耗和外围功能扩展 J2/J3 接口管脚说明 J2/J3 是将 ZLG9021P0-1 模块的引脚完整的引出, 具体功能请参考 ZLG9021P0-1 的数据 手册 表 1 J2/J3 管脚说明 J2 J3 管脚号 标号 管脚号 标号 1 P31 1 RST 2 P30 2 P23 3 P06 3 P24 4 P07 4 P26 5 P03 5 P27 6 P00 6 P10 7 P17 7 P11 8 VCC 8 P12 9 VDD 9 P13 10 GND 10 GND J5/J6/J12 接口管脚说明 J5/J6/J12 是电源相关接口, 其中 J5 是根据模块的供电模式 (DC-DC 模式或 LDO 模式 ) 来 决定是否短接, 如表 2 所示 J6 是选择是否给模块供电, 用户可以通过 J6 来测试模块功耗, 如表 3 所示 J12 是选择供电方式 :USB 板载电源供电或电池供电, 如表 4 所示 表 2 J5 管脚说明 1 VCC 模块电源引脚 2 VDD 模块的供电模式决定是否和 1 脚短接 DC-DC 模式 : 不短接 LDO 模式 : 短接 ( 目前出厂模块均为 LDO 模式 ) 表 3 J6 管脚说明 1 VCC 模块电源引脚 2 3.3V 板载 3.3V 电源 4

8 表 4 J12 管脚说明 1 REG USB 电源经 LDO 输出的 3.3V 板载电源 2 3.3V 板载 3.3V 电源输入端 1 和 2 脚短接 : 采用 USB 供电 2 和 3 脚短接 : 采用电池供电 3 BAT 3V 电池电源 J1 接口管脚说明 J1 是 M0 内核仿真接口, 主要便于仿真器通过该 SWD 接口对模块进行仿真调试, 如表 5 所示 表 5 J1 管脚说明 1 3.3V 板载 3.3V 电源 2 WCLK M0 内核调试接口 :SWCLK 3 WDIO M0 内核调试接口 :SWDIO 4 GND 地 J7/J14 接口管脚说明 J7 和 J14 主要是传感器接口, 其中 J14 是 LM75AD 和 ZLG9021P0-1 模块的 I 2 C 连接接口, 如表 6 所示 J7 是 MMA8452Q 和 FXAS21002 芯片和 ZLG9021P0-1 模块的 I 2 C 连接接口, 如表 7 所示 表 6 J14 管脚说明 1 SDA LM75AD 芯片 I 2 C 接口的 SDA 引脚 2 P23 ZLG9021P0-1 模块的 SDA 引脚 3 SCL LM75AD 芯片 I 2 C 接口的 SCL 引脚 4 P24 ZLG9021P0-1 模块的 SCL 引脚 表 7 J7 管脚说明 1 SCL MMA8452Q 和 FXAS21002 芯片 I 2 C 接口的 SCL 引脚 2 P24 ZLG9021P0-1 模块的 SCL 引脚 3 SDA MMA8452Q 和 FXAS21002 芯片 I 2 C 接口的 SDA 引脚 4 P23 ZLG9021P0-1 模块的 SDA 引脚 5 MINT2 MMA8452Q 芯片的中断引脚 2 6 P12 ZLG9021P0-1 模块的引脚 P12 7 MINT1 MMA8452Q 芯片的中断引脚 1 8 P13 ZLG9021P0-1 模块的引脚 P13 5

9 续上表 9 FINT1 FXAS21002 芯片的中断引脚 1 10 P07 ZLG9021P0-1 模块的引脚 P07 11 FINT2 FXAS21002 芯片的中断引脚 2 12 P06 ZLG9021P0-1 模块的引脚 P J15 接口管脚说明 J15 是 LED 相关接口, 可以通过是否用短接帽短接来选择 LED 进行实验, 如表 8 所示 表 8 J15 管脚说明 1 GND 地 2 GND 地 3 LED4 LED4 控制引脚 4 P27 ZLG9021P0-1 模块的引脚 P27 5 LED5 LED5 控制引脚 6 P26 ZLG9021P0-1 模块的引脚 P26 7 LED6 LED6 控制引脚 8 P31 ZLG9021P0-1 模块的引脚 P J17 接口管脚说明 J17 是串口接口, 可通过短接帽连接将 ZLG9021P0-1 模块的串口连接到 USB 转串口实现 和 PC 进行通信, 如表 9 所示 表 9 J17 管脚说明 1 RX USB 转串口芯片 XR21V1410IL16 的 UART 输入引脚 2 TX0 ZLG9021P0-1 模块 UART0 的输出引脚 3 TX USB 转串口芯片 XR21V1410IL16 的 UART 输出引脚 4 RX0 ZLG9021P0-1 模块 UART0 的输入引脚 6

10 4. 硬件电路设计 硬件电路由广州周立功单片机科技有限公司的工程师精心设计, 电路主要包括供电电源 USB-To-UART 电路 LED 与按键电路 传感器电路和调试接口 下面将对各部分电路做简要说明 4.1 电源电源有 USB 供电与电池供电两种方式可供选择, 若 J12-1 与 J12-2 连接, 系统由 USB 提供电源,5V 电源输入给 SPX3819 转换输出 3.3V, 用于整个系统供电 若 J12-3 与 J12-2 连接, 系统由电池供电, 用 2 节 1.5V 干电池串接, 输出 3V 给整个系统供电 LED1 为电源指示灯 ( 绿色 ), 当系统供电正常时, 该指示灯常亮, 电路设计如图 4.1 所示 图 4.1 电源电路 4.2 USB 转 UART 电路为便于模块调试, 本系统加入了 USB 转 UART 电路, 电路设计如图 4.2 所示 采用 XR21V1410 单通道全速 USB-To-UART 芯片, 速率高达 12Mbps 为了防止热插拔过程的静电损坏该芯片, 在数据线上连接了 ESD 保护器件 PRTR5V0U2X 同时, 加入了 LED2 与 LED3 作为接收与发送的状态指示灯 图 4.2 USB 转 UART 电路 7

11 4.3 LED 与按键电路该 Demo 板上包含 3 个 LED 和 3 个独立按键 3 个 LED 作为通用 LED(LED4~LED6) 3 个按键作为通用按键 (S1~S3) LED 和按键电路如图 4.3 所示 使用 LED 时, 需要将 J15 对应引脚按照接口说明描述短接起来 图 4.3 LED 与按键电路其中 LED 为低电平有效 ( 低电平亮 ), 按键为低电平有效 ( 按下为低电平 ) 4.4 LM75AD 温度传感器电路 LM75AD 是一个高速 I 2 C 接口的温度传感器, 可以在 -55 ~+125 的温度范围内将温度直接转换为数字信号, 精度可达 LM75AD 的 7 位器件地址为 1001xxx, 后 3 位由用户通过 A0~A2 引脚自定义 电路设计如图 4.4 所示 图 4.4 LM75AD 温度传感器电路 上图中将 A0 A1 A2 硬件拉为低电平, 故温度传感器的 7bit 地址为 A0-A2 4.5 MMA8452Q 三轴加速度传感器电路 MMA8452Q 是一款具有 12 位分辨率的智能低功耗 三轴电容式加速度传感器 具有 ± 2g/±4g/±8g 的用户可选量程, 可以实时输出高通滤波数据和非滤波数据 该传感器是一款 采用 I 2 C 接口的数字传感器, 其器件地址为 x, 通过 SA0 引脚的高低电平来决定从机 地址, 本设计 SA0 为低电平, 故 7 位地址为 , 电路设计如图 4.5 所示 8

12 图 4.5 三轴加速度传感器电路 4.6 FXAS21002 陀螺仪传感器电路 FXAS21002 是一款 3 轴陀螺仪, 可通过 I 2 C SPI 两种接口进行数据读取,FXAS21002 陀螺仪工作时功耗极低, 能进行最大满量程范围为 ±2000 /s 的测量, 输出数据速率高达 800Hz 本设计电路采用 I 2 C 接口进行数据读取, 其器件地址为 x, 通过 MISO/SA0 引脚的高低电平来决定从机地址, 本设计 MISO/SA0 为低电平, 故 7 位地址为 , 电路设计如图 4.6 所示 图 4.6 陀螺仪传感器电路 4.7 调试接口 SWD 调试下载采用 4 PIN 接口 (SWDIO SWCLK VCC GND), 与仿真器连接进行程序下载与调试, 电路设计如图 4.7 所示 图 4.7 调试接口 9

13 附录 A 物料清单 产品名称 : 制作 : 建立日期 : 名称 型号 封装 厂商 RoHS 数量 单位 标号 电阻 470Ω 0603 Ralec RoHS 6 只 R4,R9,R 10,R11, R12,R1 3 电阻 4.7KΩ 0603 Ralec RoHS 7 只 R2,R3,R 5,R6,R7,R8,R14 电容 103,±10%,50V,X7R 0603 murata RoHS 1 只 C10 电容 104,±10%,50V,X7R 0603 murata RoHS 5 只 C2,C3,C 8,C13,C 14 电容 225,2.2μF,±10%,16V,X5R 0603 murata RoHS 1 只 C12 电容 10μF,6.3V,X5R,GRM188R60J10 6M 0603 murata RoHS 1 只 C9 电容 475,4.7μF,±10%,10V,X5R 0805 RoHS 1 只 C1 电容 106,10μF,±10%,25V,X5R 1206 RoHS 1 只 C11 LED4,L 发光二极红色, RoHS 3 只 ED5,LE 管 D6 发光二极管 绿色, RoHS 3 只 LED1,L ED2,LE D3 IC XR21V1410IL16TR-F QFN-16 EXAR 1 片 U6 IC SPX3819M5-L-3-3 SOT-23-5 EXAR RoHS 1 片 U4 IC LM75AD SO-8 NXP 1 片 U5 IC PRTR5V0U2X SOT-14 3B NXP RoHS 1 片 U7 IC MMA8452Q Freescale 1 片 U2 IC FXAS21002 Freescale 1 片 U3 轻触按键 mm, 黑色,SMD 3 只 S1,S2,S 3 轻触按键 mm, 红色,SMD 1 只 S4 USB 插座 MiniUSB-B,5P/F, ,SMD RoHS 1 只 J16 蓝牙模块 ZLG9021P0-1 1 片 U1 电池盒 2 1.5v,5# 1 只 J13 PCB 100*76mm,FR-4,1.6mm 1 块 10

14 5. 免责声明 广州周立功单片机科技有限公司随附提供的软件或文档资料旨在提供给您 ( 本公司的客户 ) 使用, 仅限于且只能在本公司制造或销售的产品上使用 该软件或文档资料为本公司和 / 或其供应商所有, 并受适用的版权法保护, 版权所有 如有违反, 将面临相关适用法律的刑事制裁, 并承担违背此许可的条款和条件的民事责任 本公司保留在不通知读者的情况下, 有修改文档或软件相关内容的权利, 对于使用中所出现的任何效果, 本公司不承担任何责任 该软件或文档资料 按现状 提供, 不提供保证, 无论是明示的 暗示的还是法定的保证 这些保证包括 ( 但不限于 ) 对出于某一特定目的应用此软件的适销性和适用性默示的保证 在任何情况下, 公司不会对任何原因造成的特别的 偶然的或间接的损害负责 11

15 销售与服务网络 广州周立功单片机科技有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编 : 传真 :(020) 网址 : 电话 :(020) 广州专卖店地址 : 广州市天河区新赛格电子城 室电话 :(020) 传真 :(020) 南京周立功地址 : 南京市珠江路 280 号珠江大厦 1501 室电话 :(025) 传真 :(025) 北京周立功地址 : 北京市海淀区知春路 108 号豪景大厦 A 座 19 层电话 :(010) 传真 :(010) 重庆周立功地址 : 重庆市九龙坡区石桥铺科园一路二号大西洋国际大厦 ( 赛格电子市场 )2705 室电话 :(023) 传真 :(023) 杭州周立功地址 : 杭州市天目山路 217 号江南电子大厦 502 室电话 :(0571) 传真 :(0571) 成都周立功地址 : 成都市一环路南 2 段 1 号数码科技大厦 319 室电话 :(028) 传真 :(028) 深圳周立功地址 : 深圳市福田区深南中路 2072 号电子大厦 12 楼 1203 电话 :(0755) (5 线 ) 传真 :(0755) 武汉周立功地址 : 武汉市武昌区武珞路 282 号思特大厦 807 室电话 :(027) 传真 :(027) 上海周立功地址 : 上海市北京东路 668 号科技京城东座 12E 室电话 :(021) 传真 :(021) 西安办事处地址 : 西安市长安北路 54 号太平洋大厦 1201 室电话 :(029) 传真 :(029) 厦门办事处 sales.xiamen@zlgmcu.com 沈阳办事处 sales.shenyang@zlgmcu.com 12

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i

修订历史版本 日期 原因 V /01/20 创建文档 V /03/14 正式版本 i Data Sheet DS01010101 V1.00 Date: 2018/01/20 概述 是一款全新的高吞吐量的蓝牙 5 模块, 具有低成本 小尺寸和速率更高 功耗更低等优点 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产过程, 加快产品上市 满足快速开发需求, 减少软件投入, 缩短研发周期 该模块使用简单方便, 能迅速桥接电子产品和智能移动设备, 广泛应用于各种电子设备,

More information

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

修订历史 版本日期原因 V /11/09 创建文档 i

修订历史 版本日期原因 V /11/09 创建文档 i User Manual UM01010101 V1.00 Date: 2011/11/09 类别 关键词 摘要 内容 协议转换 介绍 ZTM LINK 的特点与使用方法 广州周立功单片机发展有限公司 修订历史 版本日期原因 V1.00 2011/11/09 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

目 录

目 录 APPLICATION NOTE ZLG I 2 C 及 UART 器件 DEMO 板简介 Rev 1.1 产品应用手册 文件信息 类别 关键词 内容 I 2 C 器件,UART 器件,DEMO 板 摘要 介绍 I 2 C 器件和 UART 器件的 DEMO 板 如有需要 DEMO 板的工程师, 请跟各地分公司销售联系 http://www.zlgmcu.com 广州周立功单片机发展有限公司 技术支持

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出,

Data Sheet ZLG9021P0-1C-TC 蓝牙模块 DS V1.01 Date:2019/03/08 产品数据手册 概述 ZLG9021P0-1C-TC 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, Data Sheet DS01010101 V1.01 Date:2019/03/08 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发

Data Sheet ZLG9021P0-1 蓝牙模块 DS V1.02 Date: 2019/0308 产品数据手册 概述 ZLG9021P0-1 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发 Data Sheet DS01010101 V1.02 Date: 2019/0308 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i

修订历史版本 日期 原因 V /01/14 创建文档 V /4/27 修改文档 V /6/17 修改文档 V /12/28 增加 ZTM3000 模组的升级方式 i User Manual UM2011042701 V1.03 Date: 2011/12/28 类别 关键词 摘要 内容 串口显示终端 固件更新 本文档介绍如何更新的固件 广州周立功单片机发展有限公司 修订历史版本 日期 原因 V1.00 2011/01/14 创建文档 V1.01 2011/4/27 修改文档 V1.02 2011/6/17 修改文档 V1.03 2011/12/28 增加 ZTM3000

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采

Data Sheet Camera-Demo 配件版产品数据手册 工控主板配件板 DS V1.00 Date: 2016/5/30 产品数据手册 概述 Camera-Demo 是广州致远电子股份有限公司开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采 Data Sheet Camera-Demo 配件版 DS20151101 V1.00 Date: 2016/5/30 概述 Camera-Demo 是开发的一款评估配件板 该配件板采用 ADV7180 模拟转数字解码方案, 可分时采集四路模拟摄像头信号, 并转为数字信号, 通过 CSI 接口连至 CPU 进行处理 主要用于 EPC-6G2C-L 和 IoT-6G2C-L 两款工控主板 CSI 摄像头评估

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

修订历史 版本日期原因 V /10/13 创建文档 i

修订历史 版本日期原因 V /10/13 创建文档 i DS01010101 V1.00 Date: 2009/10/13 类别 关键词 摘要 内容 I 2 C 总线 是一款低功耗的 COMS 型, 可驱动点阵 LCD 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2009/10/13 创建文档 i 销售与服务网络 ( 一 ) 广州周立功单片机发展有限公司 地址 : 广州市天河北路 689 号光大银行大厦 12 楼 F4 邮编 :510630

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

修订历史 版本日期原因 V /04/09 创建文档 i

修订历史 版本日期原因 V /04/09 创建文档 i Application Note AN01010101 V1.00 Date: 2018/04/09 类别 内容 关键词 安全机制介绍 摘 要 介绍模块的安全机制, 帮助用户选择合适的安全等级 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2018/04/09 创建文档 i 目录 1. 简介... 1 1.1 本文使用范围... 1 1.2 概述... 1 1.3 简单原理说明...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8

TKScope 仿真器编程 ATmega8 使用指南 Application Note AN V1.00 Date: 2010/05/14 产品应用笔记 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 TKScope 仿真器编程 ATmega8 Application Note AN05220038 V1.00 Date: 2010/05/14 类别 关键词 摘要 内容 TKScope AVR 编程 K-Flash ATmega8 修订历史 版本日期原因 V1.00 2010/05/14 创建文档 Date: 2010/05/14 2010 Guangzhou ZHIYUAN Electronics Stock Co., Ltd. Rev

More information

Microsoft Word - Static PLL应用笔记.doc

Microsoft Word - Static PLL应用笔记.doc Appplication Note V1.00 产品应用笔记 文件信息 类别 关键词 摘要 PLL 频率 相位 内容 介绍如何使用 ProASIC3/E 的静态 PLL www.embedtools.com 广州致远电子有限公司 修订历史 版本日期原因 V1.00 2007/06/24 创建文档 产品应用手册 V1.00 目录 1. 适用范围...1 2. Static PLL 概述...2 2.1

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Microsoft Word - Competition?Rules?比赛细则-11-1.01-ren

Microsoft Word - Competition?Rules?比赛细则-11-1.01-ren 第 十 一 届 全 国 大 学 生 智 能 汽 车 竞 赛 竞 速 比 赛 规 则 智 能 车 竞 赛 秘 书 处 2015 11 15 2016 清 华 大 学 中 央 主 楼 自 动 化 系 目 录 第 十 一 届 竞 赛 规 则 导 读... 4 一 前 言... 5 二 比 赛 器 材... 7 1 车 模... 7 (1) 车 模 的 种 类... 7 (2) 车 模 修 改 要 求...

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

修订历史 版本日期原因 V /03/15 创建文档. 1

修订历史 版本日期原因 V /03/15 创建文档. 1 ZLG600A 读写卡模块入门指南 ZLG600A 系列读写卡模块 User Manual UM06120000 V1.00 Date: 2016/03/15 类别 关键词 摘要 内容 ZLG600A 读写卡模块 Demo 描述 ZLG600A 系列读写卡模块基于 ZLG500 Demo 板的入门指南 广州周立功单片机科技有限公司 修订历史 版本日期原因 V1.00 2016/03/15 创建文档.

More information

<4D6963726F736F667420576F7264202D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8BDDCC8FCBFC6BCBCB8FAD7D9A3A9>

<4D6963726F736F667420576F7264202D20C6C0BCB6B1A8B8E6B7E2C3E6A3A8BDDCC8FCBFC6BCBCB8FAD7D9A3A9> 2014 年 公 司 债 券 2016 年 跟 踪 信 用 评 级 报 告 中 心 项 目 等 项 目 建 设, 若 本 次 非 公 开 发 行 股 票 事 项 能 顺 利 实 施, 有 望 进 一 步 提 升 公 司 资 本 实 力, 增 强 公 司 抗 风 险 能 力 关 注 : 网 络 覆 盖 及 网 络 接 入 设 备 毛 利 率 有 所 下 滑 受 市 场 竞 争 加 剧 的 影 响,2015

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量

25.( 0 在 進 行 水 溫 與 溶 解 量 的 實 驗 時, 每 一 匙 糖 都 要 刮 平 的 主 要 目 的 為 何? 1 避 免 一 次 溶 解 太 多 糖 2 可 以 增 加 溶 解 糖 的 次 數 3 控 制 加 入 的 每 一 匙 糖 都 一 樣 多 4 可 以 減 少 溶 解 量 五 上 自 然 與 生 活 科 技 科 第 四 單 元 水 溶 液 一 選 擇 題 01.( 0 下 列 哪 一 種 方 法 可 以 辨 識 出 水 溶 液 的 酸 鹼 性? 1 用 眼 睛 仔 細 觀 察 2 用 電 池 電 線 和 小 燈 泡 來 測 試 3 用 食 鹽 水 來 辨 識 4 用 紫 羅 蘭 花 的 汁 液 來 測 試 02.( 0 下 列 哪 一 種 水 溶 液 不 是 中 性

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

港澳四天三夜双人游行程及说明

港澳四天三夜双人游行程及说明 汽 车 (GPS) 卫 星 定 位 终 端 设 备 数 据 维 护 手 册 V1.0 A24 型 ( 车 载 DVD 升 级 车 联 网 套 件 ) 佛 山 市 阿 普 思 通 讯 技 术 有 限 公 司 专 注 车 联 网 及 汽 车 微 电 子 - 1 - 更 新 列 表 日 期 版 本 号 内 容 负 责 人 备 注 2013.10.6 V1.0 建 档 - 2 - 安 装 和 使 用 之 前,

More information

凯莱东尼铁路应用同轴电缆

凯莱东尼铁路应用同轴电缆 凯莱东尼铁路应用同轴电缆 www.addison-cables.com 凯莱东尼铁路电缆 目录 目录 FRA 316 SW4 2 FRA 400 SW4 4 FRA 213D SW4 6 FRA 213 URM SW4 8 FRA 3002 SW4 10 FRA 59 SW4 12 FRA 179 SW4 14 COAXIAL CABLES 1 FRA 316 SW4 Ô Ô应用 该电缆适合铁路机车内外灵活安装

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, :

Cypress CapSense PSoC,Cypress CapSense PSoC CSD CapSense Plus PSoC CapSense USB cy8c24x94 PSoC CapSense (LEDLCD ) us :,,,,,, : PSoCCapSensePLUS CapSense PLUS CapSense LCD PSoC CapSensePLUS,, CapSensePLUS,,,,, http://cn21iccom/customer/cypress/20070307htm 20X34,21X34,24X94 USB 21X34 CapSense plus PSoC Designer, plus CapSense Plus

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9, % 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4%

45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9, % 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4% IT IT IT 280,000 IT IT 7.8% 519,320 IT IT IT30,587 23.4% IT 4-66 - 45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9,877 32.3% 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4% - 67 - 15 500,000

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps

Tel: , Fax: STR-30 STR bps 2400bps 4800bps 9600bps 19200bps 38400bps 76800bps Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TL +86-021-50273226 50807785 13816690692 FX:+86-021-50807785-807 167 -mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

内置协议及链路层、具有六路接收通道

内置协议及链路层、具有六路接收通道 PTR9618PA 最大 +20dBm PA 输出, 嵌入式低功耗蓝牙模块 Cortex M4F 32 位处理器内核, 支持蓝牙 5.0 专为更远距离通信设计, 内置最大 +20dBm 射频输出, 嵌入式系统级超低功耗蓝牙多协议模块 PTR9618PA, 基于 Nordic nrf52832,arm Cortex M4F 32 位处理器内核, 内嵌 2.4GHz 射 频收发器, 搭载集成天线, 提供一个系统级的多无线协议系统级模块完整解决方案,

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

ABB Drives RTAC-0 RTAC-0 3ABD 0000980 REV A CN Based on: 3AFE 64486853 REV A EN PDM: 30005749 00 5 00 ABB RTAC-0! RTAC-0 iii iv RTAC-0 ......................................................... iii..................................................iii..................................................

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

P56

P56 LED柔性软灯条 (P62-).62. 8mm Single LED Flexi Strip 8mm单色LED柔性软灯条 SMD 3528 12V LED/meter - Available in 12V DC maximum - pcs LEDs per meter, 7.5Mt per reel - Cuttable every mm at the scissors symbol - Maximum

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

STM32 in arm seminar

STM32 in arm seminar 增强的 STM32 家族, 助您扩展应用领域 超值型 STM32F100, 增强产品的竞争力超低功耗 STM32L, 提高能源的使高能源的使用效率 超值型 STM32F100, 增强产品的竞争力 ST 为客户提供新的 STM32 选择 新增 1MB 闪存超高密度的 STM32, 提高 STM32 家族的可扩展性 新增低成本 STM32 超值型, 将 STM32 的优越性能带向 8/16 位应用领域

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

<433A5C446F63756D656E747320616E642053657474696E67735C7A6F75796C5CD7C0C3E65CA1B6B9E3B6ABB5E7D4B4A1B732303135C4EAB5DA33C6DA2E646F63>

<433A5C446F63756D656E747320616E642053657474696E67735C7A6F75796C5CD7C0C3E65CA1B6B9E3B6ABB5E7D4B4A1B732303135C4EAB5DA33C6DA2E646F63> GUANGDONG POWER SUPPLY 目 录 协 会 动 态 以 服 务 政 企 为 己 任, 开 创 协 会 工 作 新 局 面 调 研 副 会 长 单 位 纪 实...1 2015 第 七 届 中 国 电 池 技 术 创 新 ( 上 海 ) 论 坛 暨 充 换 电 技 术 高 峰 论 坛 电 池 展 圆 满 落 幕...1 企 业 新 闻 易 事 特 检 测 中 心 喜 获 CNAS 认

More information

Microsoft Word - 如何使用Smart PRO 5000U恢复P89V51RD2引导代码.doc

Microsoft Word - 如何使用Smart PRO 5000U恢复P89V51RD2引导代码.doc APPLICATION NOTE 如何使用 Smart PRO 5000U 恢复 P89V51RD2 引导代码 Rev 1.0 产品应用手册 文件信息 类别 关键词 内容 Smart PRO 5000U,P89V51RD2,ISP 引导代码 摘要 本文主要讲述如何用 Smart PRO 5000U 恢复 P89V51RD2 的 ISP 代码及烧写程序时的注意事项 http://www.zlgmcu.com

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information