目 錄 1 ENOB 與 NOISE FREE 的說明 3 2 軟體說明 4 21 OPTION Setup RAM Channel REG Pannel ADC Pannel OP Pannel CMP Pannel 7 22

Size: px
Start display at page:

Download "目 錄 1 ENOB 與 NOISE FREE 的說明 3 2 軟體說明 4 21 OPTION Setup RAM Channel REG Pannel ADC Pannel OP Pannel CMP Pannel 7 22"

Transcription

1 HY-ADC ENOB Test 使用說明 2008 HYCON Technology Corp wwwhycontekcom

2 目 錄 1 ENOB 與 NOISE FREE 的說明 3 2 軟體說明 4 21 OPTION Setup RAM Channel REG Pannel ADC Pannel OP Pannel CMP Pannel 7 22 USB SCAN 7 23 READ RAM 8 24 ENOB TEST 8 3 硬體說明 傳輸架構 USB ENOB TEST BOARD 說明 10 4 修訂紀錄 12 wwwhycontekcom page2

3 1 ENOB 與 Noise Free 的說明 FSR In FSR RMS Noise ENOB Log 2 方程式 1 RMS Noise In2 Noise Free Bits FSR In FSR Peak - to - Peak Noise Log 2 Peak - to - Peak Noise In2 方程式 2 Sigma Delta ADC 本身所產生的 RMS Noise 即為能分辨取樣訊號的最小電壓值, 因此 ENOB( 有效的輸出 Bit 數 ) 是用 RMS Noise 與 Full Scale Range 的比值來算的, 然而 RMS Noise 需要取多筆平均來運算, 取樣數太少, 只能表現出那一段時間的 RMS Noise, 無法代表 ADC 整體運算的 RMS Noise, 因此 RMS Noise 運算的筆數不少於 1024 筆 但是如果 ADC 值輸出的 Count 不滾動, 那就是 Noise Free Bits, 因此 Noise Free Bits 是 ADC 的穩定輸出表現, 定義的 Bits 運算為 Peak-to-Peak Noise 與 Full Scale Range 的比值 RMS Noise 的計算方式 : 平均 Counts Average n = ADC 的總取樣數 RMS Noise Peak-to-Peak Noise 的計算方式 : V REF n n ADC k k1 方程式 3 n k1 2 Scale ADC k Average n 方程式 4 Scale = ADC 輸出的總 Bits Peak - to - Peak Noise V REF ADC 2 ADCMax = 總取樣中 ADC 最大值 ADCMin = 總取樣中 ADC 最小值 Max Scale 2 ADC Min 方程式 5 wwwhycontekcom page3

4 2 軟體說明 設定 USB 偵測 讀取 OTP 所有 Register ENOB 與 Noise Free 測試 21 Option 通訊及晶片選擇設定 顯示 RAM Panel 顯示 Register Panel 顯示 ADC Panel 圖 1 顯示 OP Panel 顯示 Compare Panel 圖 2 wwwhycontekcom page4

5 211 Setup 晶片選擇 通訊介面選擇 光耦合通訊選擇 圖 3 1 晶片選擇選擇 OTP 晶片,OTP 晶片程式需要加入 SPI 或 Special 的通訊程序 2 通訊介面選擇只能選擇 SPI 或 Special, 其他介面暫不支援 3 光耦合選擇 212 RAM Channel 當通訊介面選擇使用光耦合隔離的通道時的選項 圖 4 請參考 HY-IDE 軟體使用手冊 32 一節,RAM 視窗的操作 wwwhycontekcom page5

6 213 REG Pannel 圖 5 請參考 HY-IDE 軟體使用手冊 33 一節,Register 視窗的操作 214 ADC Pannel 圖 6 請參考 HY-IDE 軟體使用手冊 36 一節,ADC 視窗的操作 wwwhycontekcom page6

7 215 OP Pannel 圖 7 請參考 HY-IDE 軟體使用手冊 37 一節,OP 視窗的操作 216 CMP Pannel 22 USB Scan 圖 8 請參考 HY-IDE 軟體使用手冊 38 一節, 比較器視窗的操作 偵測掃描 USB 通訊端口是否有接 ENOB Control Board, 如果連接上 USB 則在左下角顯示 USB On Line wwwhycontekcom page7

8 如圖 9 圖 9 如果連接上 USB 則在左下角顯示 USB On Line 如圖 10 PC 程式會每隔一分鐘掃描一次 圖 Read RAM 當執行完 USB Scan 後, 確認 USB On Line 後, 請再執行 Read RAM, 會將 OTP 晶片當前的 RAM 及 Registers 全部讀進 PC 的緩衝區, 這將會影響 ENOT Test 的 RMS Noise 與 Peak-to-Peak Noise 的運算 24 ENOB Test ADC 取樣點數 ADC 輸出 Bit 數 顯示 ENOB 顯示 Noise Free 顯示 ADC 取樣的平均值 (Count) Peak-to-Peak Noise (nv) RMS Noise (nv) 即時捕捉並讀取 ADC 將數值存檔 (HyADCCS V) 數值與圖表切換顯示 頻域與時域切換顯示 輸入參考電壓值 擷取 ADC 數值後再平均幾筆 數值顯示區 圖 11 1 Sample Point 取樣點數 ; Catch ADC 功能的 ADC 取樣點數, 取樣 OTP ADC 輸出的數量, 最小 256, 最大 1024 筆 2 Sclae ADC 輸出 Bit 數 ; 輸出每一筆 ADC 的 Bit 數, 最小 8 Bits, 最大 24 Bits 3 ENOB 顯示 ENOB(Effective Number of Bits), 計算方式如方程式 1, 單位為 Bits 4 Noise Free 顯示 Noise Free Bits, 計算方式如方程式 2, 單位為 Bits 5 Average 顯示 ADC 的取樣平均值, 如方程式 3, 單位為 Counts 6 Vp-p Noise wwwhycontekcom page8

9 顯示 Peak-to-Peak Noise, 如方程式 5, 單位為 nv 7 RMS Noise 顯示 RMS Noise, 如方程式 4, 單位為 nv 8 Catch ADC 即時捕捉並依序顯示 ADC 值於數值顯示區內 9 Save to CSV 將顯示區的數值存入 HyADCCSV 的檔案中, 包括 ENOB Noise Free Average Vp-p Noise 與 RMS Noise 10 Change To Chart 在數值顯示區內切換顯示圖表與數值 11 Change FFT 圖表切換顯示頻域與時域 12 Ref Volt 輸入 Reference Voltage 電壓值 ( 單位 V) 13 Avr Tim 選擇軟體平均, 在數值顯示區內的數值會根據所選擇的次數再平均, 之後顯示於數值顯示區內 wwwhycontekcom page9

10 3 硬體說明 31 傳輸架構 圖 12 整體架構由 PC 傳送 Command 或 Data 到 USB ENOB Test Board, 然後由 USB ENOB Test Board 讀寫 Hycon OTP 的 SRAM Data, 或讀寫 Flash Memory 32 USB ENOB Test Board 說明 Photo Power Photo Ground J5 J J4 Photo Input Channel VP SPIDI_Q SPICK_Q SPIDO_Q SPICS_Q VSSP SPIIRQ_Q T09011 V02 U12 U11 U10 U9 L1 R3 R2 R1 U6 U3 U7 J6 1 2 USB power JP2 JP1 VSSBAT VBAT 圖 13 1 J2 J3: SPI 通訊 Port J2 說明 PIN 1 VDDIN 供給 U1 電源, 如果需要 OTP 外部供給電源 J3 開路, 如果由 USB ENOB Test Board 供給電源則 J3 短路 PIN 2 ICESDI_Q,SPI 的 DI 訊號線 PIN 3 ICESCK_Q,SPI 的 CK 訊號線 PIN 4 ICESDO_Q,SPI 的 DO 訊號線 PIN 5 ICECS_Q,SPI 的 CS 訊號線 PIN 6 VSS PIN 7 ICEIRQ_Q, 偵測 Hycon OTP 寫入 Flash Memory 是否完成的訊號線 2 J4 J5 J8 : 光耦合通訊 Port wwwhycontekcom page10

11 J4 說明 PIN 1 VP, 供應光耦合 IC(U9~U13) 的電源, 如果要測底隔離 Power 則 J5 與 J8 需開路 ; 如果要共用電源則 J5 與 J8 需短路 PIN 2 SPIDI_Q, 光耦合 DI 訊號線 PIN 3 SPICK_Q, 光耦合 CK 訊號線 PIN 4 SPIDO_Q, 光耦合 DO 訊號線 PIN 5 SPICS_Q, 光耦合 CS 訊號線 PIN 6 VSSP, 光耦合 Ground PIN 7 SPIIRQ_Q, 偵測 Hycon OTP 寫入 Flash Memory 是否完成的訊號線 ( 光耦合 ) 3 J9 J10 J11 與 U8 U8 是 Flash Memory, 有 512K byte 的容量 J10 J11 是 Flash Memory 電源了來源, 如果使用光耦合隔離電源則 J10 與 J11 的 PIN1-2 短路 ; 如果不需要隔離電源則 J10 與 J11 的 PIN2-3 短路 J9 說明 : PIN 1 VDD_X, 供應 U8 電源 PIN 2 FLDI, 控制 U8 的 DI 訊號線 PIN 3 FLCK, 控制 U8 的 CK 訊號線 PIN 4 FLDO, 控制 U8 的 DO 訊號線 PIN 5 FLCS, 控制 U8 的 CS 訊號線 PIN 6 VSS_X,U8 的 Ground 4 JP1 JP2 J6 與 U3 JP1 與 JP2 是外部輸入 Power 供應 U3, 產生 VDD 電源 ; 如果使用 USB 電源則 J6 短路, 如果使用外部 Power(5V) 則由 JP1 JP2 輸入並將 J6 開路 U3 R1 R2 與 R3 所組成的 Regulator, 產生 VDD 電源 如果要改變輸出電壓可調整 R1 R2 R1 R2 R3 與 R3, 其關係式為 VDD 1240 (1 ) ) V wwwhycontekcom page11

12 4 修訂紀錄 以下描述本文件差異較大的地方, 而標點符號與字形的改變不在此描述範圍 版本頁次變更摘要 V01 ALL 初版發行 V02 9 刪除 switch test 項目使用方法 ALL 修改為新版圖片 wwwhycontekcom page12

HY313X EVA Test Tool 使用說明書 HYCON Technology Corp 初版 APD-DMM001-V02_TC Page 1

HY313X EVA Test Tool 使用說明書 HYCON Technology Corp   初版 APD-DMM001-V02_TC Page 1 HY33X EVA Test Tool 使用說明書 wwwhycontekcom 初版 Page 目錄 ENOB 與 NOISE FREE 說明 3 軟硬體安裝 4 系統最低需求 4 安裝及移除 4 3 軟體選單說明 0 3 Setup 3 RAM Panel 33 REG Panel 3 34 CLK ProCounter MAP ADS ADF OP and POWER Panel 4 4 ENOB

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

员工签到录

员工签到录 Archivist 2002 Eletech Enterprise Co., Ltd. All Rights Reserved. 1-1 ELETECH VOICE SYSTEMS INC 2 / 2 VLR, 1-1-1 VP894AS-M11 1. VP894AS-M11 1 2. Y 4 3. RJII 4 4. 2-PIN 1 5. VLR 1 2 3 4 ELETECH VOICE SYSTEMS

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

1

1 磁軌式讀卡機 1288 系列 使用手冊 Version 1.0 1 2 3 4 5 6 7 8 9 10 11 12 1288 MSR Micro controller : With Decoder Open Visual COM port to read data (UART Interface) From 1288 Or direct control 1288 by sending Command

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 49 [P.51] C/C++ [P.52] [P.53] [P.55] (int) [P.57] (float/double) [P.58] printf scanf [P.59] [P.61] ( / ) [P.62] (char) [P.65] : +-*/% [P.67] : = [P.68] : ,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

上海市本科教学质量年度报告

上海市本科教学质量年度报告 上 海 市 本 科 教 学 质 量 年 度 报 告 数 据 内 涵 说 明 V2.0 版 上 海 市 教 委 高 教 处 上 海 喆 思 (2015.07.02) 目 录 一 基 本 统 计 挃 标 说 明... 4 二 挃 标 解 释... 4 1. 全 日 制 在 校 本 科 生 数 及 占 在 校 生 总 数 的 比 例 ( 学 年 )... 4 2. 当 年 本 科 招 生 与 业 总 数

More information

参考电极的选择

参考电极的选择 «ERPs 实 验 教 程» 重 要 补 遗 ( 二 ) 参 考 电 极 的 选 择 和 转 换 撰 写 : 党 丽 洁, 孟 伟, 张 典 审 校 : 赵 仑 一 参 考 电 极 的 选 择 什 么 样 的 参 考 位 置 才 能 获 得 最 真 实 的 基 线 ( 近 似 于 0 的 ) 信 号 呢? 不 同 的 主 张 产 生 了 不 同 的 参 考 选 择 和 使 用, 包 括 最 普 遍

More information

一量动…

一量动… 语 言 教 学 与 研 究,1998(3):102-113. 一 量 VP 的 语 法 语 义 特 点 李 宇 明 根 据 量 词 的 不 同, 一 量 VP 可 以 分 为 三 类 : (1) 畜 力 车, 哪 怕 是 牛 车, 竟 一 辆 没 有 ( 陈 冲 不 自 然 的 黑 色, 十 月 1989 年 6 期 34 (2) 一 刻 都 不 敢 离 开 你 呢 ( 小 牛 上 路 谣, 当 代

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

6.Hmi Pro-face xlsx

6.Hmi Pro-face xlsx INDEX Pro-Face 1 倉 E-73 倉 H- 85,87 人機介面 Touch Pannel Pro-face AGP3600-T1-AF 12.1 型 SVGA [800 x 600] TFT 彩色液晶顯示幕 12 7+1+4 2 O-4-2 倉 E-76 人機介面 Touch Pannel Pro-face AGP3600-T1-D24 2 1+1 3 人機介面 Touch Pannel

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

P3C2000 JumperFree TM Camino

P3C2000 JumperFree TM Camino P3C2000 JumperFree TM Camino 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 1 2 3 4 5 6 7 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 13 USB

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

Tel: Fax: TTP-344M/246M /

Tel: Fax: TTP-344M/246M / TTP-344M/246M / True Type font David Turner, Robert Wilhelm Werner Lemberg The Free Type Project 235 16 8 2 i- TTP-344M/246M...1 1.1...1 1.2...1 1.2.1...1 1.2.2 /...2 1.2.3...2 1.2.4...2 1.3...3 1.4...3

More information

2011 中 級 組 歷 史 一 般 來 說, 中 國 地 勢 西 高 東 低, 中 國 的 兩 大 河 均 流 入 太 平 洋 5 中 國 文 明 發 源 於 漢 族 居 住 的 北 方 的 黃 河 流 域, 漢 族 人 現 已 遍 及 中 國 各 地 6 7 中 國 和 美 國 離 赤 道 的

2011 中 級 組 歷 史 一 般 來 說, 中 國 地 勢 西 高 東 低, 中 國 的 兩 大 河 均 流 入 太 平 洋 5 中 國 文 明 發 源 於 漢 族 居 住 的 北 方 的 黃 河 流 域, 漢 族 人 現 已 遍 及 中 國 各 地 6 7 中 國 和 美 國 離 赤 道 的 2011 中 級 組 歷 史 全 美 中 文 學 校 聯 合 總 會 2011 年 青 少 年 中 華 歷 史 文 化 常 識 比 賽 中 級 組 中 國 歷 史 常 識 題 庫 sheet1:2. 中 國 歷 史 常 識 題 庫 sheet2:3. 中 華 文 化 常 識 題 庫 sheet3:4. 成 語 / 習 慣 語 題 庫 組 比 賽 方 式 : 個 人 組 初 賽 : 將 利 用 Power

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

V 2.1.0 2

V 2.1.0 2 1 V 2.1.0 2 目 录 目 录...2 如 何 与 我 们 联 系... 4 开 箱 检 查...5 注 意 事 项...6 保 修...7 第 一 章 概 述...8 一 概 述... 8 二 主 要 功 能... 8 三 技 术 指 标...10 四 环 境 要 求...12 第 二 章 面 板 说 明... 13 一 LCD 屏 说 明...13 二 按 键 说 明...15 第 三

More information

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題

國立臺東高級中學102學年度第一學期第二次期中考高一國文科試題 國 立 臺 東 高 級 中 學 102 學 年 度 第 一 學 期 第 二 次 期 中 考 高 一 國 文 科 試 題 卷 畫 答 案 卡 : 是 否 ( 班 級 座 號 科 目 代 號 畫 錯 扣 5 分 ) 適 用 班 級 :1-1 1-9 1-11 考 試 範 圍 : 梧 桐 樹 醉 翁 亭 記 古 橋 之 戀 樂 府 詩 選 論 語 選 一 默 寫 : 依 原 文 將 正 確 文 句 填 入

More information

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? Page 2

!! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :?  Page 2 ??????...! ; --- --- --- : ---!!! ---!! ---?????... http://www.phpget.cn Page 1 !! :!!??!!?!??!!!... :... :'?'?! :' ' :'?' :'?' :'!' : :? http://www.phpget.cn Page 2 ---...?!... :...! :...?!!...!!?!?!...?!

More information

Microsoft Word - Sunday20130908

Microsoft Word - Sunday20130908 權 能 的 來 源 安 童 牧 師 事 工 简 介 : -- 摘 自 安 童 牧 師 2013 年 9 月 8 日 主 日 信 息 神 呼 召 他, 最 初 在 街 上 接 納 養 育 得 痲 瘋 的 孩 子, 神 藉 着 一 个 单 纯 顺 服 的 仆 人 做 了 极 大 的 事 工, 現 在,8000 印 度 兒 童 參 與 他 的 服 事 目 前, 二 萬 三 千 多 兒 童 參 與 他 的

More information

秘密大乘佛法(下)

秘密大乘佛法(下) 印 度 佛 教 史 (25) 101 / 12 / 24 釋 清 德 秘 密 大 乘 佛 法 ( 下 ) 印 度 佛 教 思 想 史 第 十 章 第 三 節 金 剛 乘 與 天 行 一 秘 密 大 乘 稱 金 剛 乘 採 取 表 徵 主 義 1 三 四 五 方 佛 大 乘 佛 法 興 起, 傳 出 十 方 現 在 的 無 數 佛 名 現 在 有 佛 在 世, 可 以 滿 足 佛 涅 槃 後, 佛 弟

More information

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE>

<D2B0D0C4D3C5D1C52DC8CED6BEC7BF202D20BCC7CAC2B1BE> 任 志 强 回 忆 录 哪 怕 一 个 再 渺 小 的 个 人, 也 可 以 为 一 段 历 史, 甚 至 一 段 很 重 要 的 历 史 背 书! 一 个 变 革 的 时 代, 一 群 过 河 的 人, 一 种 野 心 优 雅 的 实 现! 一 部 民 营 企 业 和 创 业 人 生 的 心 灵 史 生 死 书! 平 时 就 很 敢 说 的 任 志 强, 这 次 说 的 更 赤 裸! 历 时 两

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

Microsoft Word - SDL600-zh-CN_V1.5.doc

Microsoft Word - SDL600-zh-CN_V1.5.doc 用 户 指 南 声 级 计 SD 卡 实 时 数 据 记 录 仪 型 号 SDL600 A 简 介 恭 喜 您 选 购 Extech SDL600 型 声 级 计 该 仪 表 可 显 示 并 存 储 声 压 级 范 围 在 30 至 130 db 之 间 的 读 数 SDL600 型 声 级 计 符 合 ANSI 和 IEC 61672 2 级 标 准, 具 有 A 和 C 频 率 加 权 以 及

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

北京市基本医疗保险政策解答

北京市基本医疗保险政策解答 首 都 经 济 贸 易 大 学 全 日 制 本 科 学 生 学 籍 管 理 规 定 首 经 贸 政 发 2013 60 号 第 一 章 总 则 第 一 条 根 据 教 育 部 普 通 高 等 学 校 学 生 管 理 规 定 和 首 都 经 济 贸 易 大 学 学 生 管 理 规 定, 结 合 我 校 实 际 情 况, 制 定 本 规 定 第 二 条 本 科 基 本 修 业 年 限 为 四 年, 实

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

P4V88+_BIOS_CN.p65

P4V88+_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Wed 12/22/2004] BIOS Version : P4V88+ BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc

X6-04_How_do_I_write_a_com_port_communicate_program_of_XPAC_tc Author WeiKai Version 1.0.0 Date 2013/4/14 Page 1/11 我如何在 XPAC 上建立一個 COM port 通訊程式 Applies to: Platform OS version XPAC utility version XPAC series All versions (WinCE6) All versions XPAC SDK 提供 XPAC 結合

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

她 氣 色 很 差 我 跟 她 打 了 兩 次 招 呼 她 才 回 我 這 樣 妳 去 打 個 電 話 給 她 看 看 她 現 在 人 在 哪 裡 好 資 料 應 該 在 這 裡 這 地 方 是 前 幾 天 不 是 怎 麼 了 在 找 什 麼 尹 小 姐 下 午 就 出 去 到 現 在 還 沒 回

她 氣 色 很 差 我 跟 她 打 了 兩 次 招 呼 她 才 回 我 這 樣 妳 去 打 個 電 話 給 她 看 看 她 現 在 人 在 哪 裡 好 資 料 應 該 在 這 裡 這 地 方 是 前 幾 天 不 是 怎 麼 了 在 找 什 麼 尹 小 姐 下 午 就 出 去 到 現 在 還 沒 回 這 段 時 間 玲 玲 跟 媽 媽 玩 得 真 的 很 開 心 妳 看 看 不 管 是 坐 船 或 是 烤 地 瓜 玲 玲 的 笑 容 都 一 直 掛 在 臉 上 尹 小 姐 是 真 的 很 愛 玲 玲 玲 玲 也 很 需 要 媽 媽 我 也 很 疼 玲 玲 難 道 說 玲 玲 跟 我 在 一 起 她 不 快 樂 不 開 心 當 然 不 是 這 個 意 思 玲 玲 跟 奶 奶 在 一 起 一 定 也

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

投影片 1

投影片 1 軟體說明書繁體中文 RGB A 目錄 - CONTENTS 01 09 15 17 22 軟體主介面 巨集設定說明 主介面概觀 個人設定檔 (Profiles) 一般模式 / 遊戲模式 按鍵功能分配 巨集管理器概觀 巨集管理器 巨集錄製設定 巨集錄製時間列表 插入指令 閃移系統 - I.S.S (Instant Shift System) 燈光設定更新韌體 閃移系統啟動鈕設定說明 燈光設定介面 介面區域一

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿;

Microsoft Word - 2015-12-25箕æ−¥ï¼‹å®ı稿; 名 词 工 作 简 报 第 4 期 ( 总 第 240 期 ) 全 国 科 学 技 术 名 词 审 定 委 员 会 事 务 中 心 编 印 2015 年 12 月 25 日 医 学 美 学 与 美 容 医 学 名 词 正 式 公 布 测 绘 学 名 词 ( 第 四 版 ) 等 8 种 科 技 名 词 预 公 布 中 国 社 科 院 秘 书 长 高 翔 出 席 审 定 工 作 会 议 并 讲 话 两

More information

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章

98年度即測即評學科測試與即測即評即發證技術士技能檢定簡章 簡 章 103 年 度 即 測 即 評 學 科 測 試 與 即 測 即 評 及 發 證 技 術 士 技 能 檢 定 簡 章 報 名 書 表 勘 誤 表 日 期 103 年 6 月 12 日 封 面 封 面 10302A 10303A 報 檢 人 重 點 摘 要 提 示 1 6. 大 陸 地 區 人 民 ( 大 陸 配 偶 大 陸 學 位 生 ( 陸 生 就 學 ) 及 專 案 許 可 取 得 長 期

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

power point 1. 2. 3. ( ) 1992 ) B., C., 12,500 A.S. Neill Neill Neill Neill 1. 2. Neill 3. Neill 4. 5. 6. 7. Neill 8. 1. 2. 3. 9. 10. 1. 2. 3. 4. 11. 12. 1. 2. 3. 4. 5. 6. 1. 2. 3. 4. 7. 8. 9. 1. 2.

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

目 錄 2

目 錄 2 1 目 錄 2 3 4 5 6 7 8 9 10 11 12 13 14 a. b. c. d. a. b. c. d. 15 16 17 18 a. b. c. d. 19 20 8. 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 () 44 45 ( ) 46 47 48 49 50 51 52 53 54

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

Microsoft Word - 3圓來如此.doc

Microsoft Word - 3圓來如此.doc 局 長 序 精 進 教 學 是 教 育 部 近 幾 年 推 動 教 育 改 革 的 重 點, 本 市 國 民 教 育 輔 導 團 數 學 領 域 能 夠 進 行 整 體 性 的 規 劃, 強 調 資 源 整 合 專 業 實 踐 重 質 不 重 量, 並 將 輔 導 工 作 聚 焦 在 精 進 教 師 課 堂 教 學 能 力 傳 遞 教 育 政 策 與 新 知, 及 推 展 創 新 教 學 之 發 展

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22...

/...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22... Keysight Technologies /...2...3...3....4 vs....5...6...6...7...8...9...12.... 12...12....15...16....17... 18....18....18...19...20......................... 21...21... 22....22...22...22.........................

More information

冷熱衝擊試驗機、溫度控制器

冷熱衝擊試驗機、溫度控制器 冷 熱 衝 擊 試 驗 控 制 器 U-8226S-ACCU1 簡 易 操 作 說 明 書 為 正 確 使 用 本 產 品, 使 用 前 務 必 先 詳 讀 本 說 明 書 為 必 要 時 方 便 使 用, 請 將 本 書 置 於 易 取 之 處, 並 妥 善 保 管 応 用 電 子 工 業 株 式 会 社 索 引 ( 一 ) 前 言 P.2 ( 二 ) 概 要 P.3 2-1. SYSTEM 構

More information

Microsoft Word - CMU200 WCDMA 手機測試步驟.doc

Microsoft Word - CMU200 WCDMA 手機測試步驟.doc 所有測試基於 3GPP TS34.121 CMU200 WCDMA 手機測試步驟 CMU200 測試前的設置 1. 按 Reset 鍵初始化 CMU200 2. 按 Menu Select 鍵, 選擇 WCDMA FDD Signaling 3. 按 BS Signal 軟鍵 ( 螢幕下部 X 軸 ), 進入 Node-B Settings, 選擇 Level Reference, 設置 Output

More information

CDMA扫频仪测试说明

CDMA扫频仪测试说明 PCTEL CDMA 扫 频 仪 测 试 指 导 书 珠 海 世 纪 鼎 利 通 信 科 技 股 份 有 限 公 司 Copyright Dingli Commnunications Inc.,All rights reserved 版 权 所 有, 侵 权 必 究 1 目 录 一 PCTEL CDMA 扫 频 仪 介 绍... 3 二 测 试 前 的 准 备 工 作 ( 扫 频 仪 硬 件 连 接

More information

5-1淡江大學學則950602

5-1淡江大學學則950602 淡 江 大 學 學 則 教 育 部 台 (86) 高 ( 二 ) 字 第 86028132 號 函 核 准 87.10.28 教 務 會 議 通 過 87.11.06 校 務 會 議 通 過 教 育 部 台 (87) 高 ( 二 ) 字 第 87145848 號 函 備 查 88.01.26 (88) 校 秘 字 第 0243 號 函 修 正 89.06.09 第 43 次 校 務 會 議 修 正

More information

安全防范

安全防范 8989 Be Right TM Sigma 900 5/03 2003 ...1...4...8 1.1...8 1.2...9 1.2.1...9 1.2.2...12 1.3...12 1.4...12 1.4.1...12 1.4.2...13 1.4.3...14 1.5...15 1.6...16 1.7...16 1.7.1...17 1.7.2...17 1.7.3...18 1.7.4

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Microsoft Word - 2014閱讀參考答案.docx

Microsoft Word - 2014閱讀參考答案.docx 根 據 下 列 三 篇 作 品, 回 答 所 附 問 題 : 甲 所 有 的 樹 都 是 用 點 畫 成 的, 只 有 柳, 是 用 線 畫 成 的 別 的 樹 總 有 花 或 者 果 實, 只 有 柳, 茫 然 地 散 出 些 沒 有 用 處 的 白 絮 別 的 樹 是 密 碼 緊 排 的 電 文, 只 有 柳, 是 疏 落 的 結 繩 記 事 別 的 樹 適 於 插 花 或 裝 飾, 只 有 柳,

More information

1 1200 1290 3 12 6 13 18 19 22 26 11 7 1 12 12 11 1883 1933 20 20 1911

More information