Microsoft Word - 1 page0.doc

Size: px
Start display at page:

Download "Microsoft Word - 1 page0.doc"

Transcription

1 社 心 版 中 出 版 学 科 术出 k.cn o 技 o b 教 a. 职 ww w

2 高等教育 十二五 规划教材 汇编语言程序设计教程 雷印胜贾萍胡晓鹏等编著 北京

3 内容简介 秉承知识的系统性 完整性和严谨性的宗旨, 我们编写了本书 为了便于教学安排和循序渐进地学习知识, 本书以 Intel 8086/ 位微处理器为基础, 探寻 Intel 主流系列高性能微机的技术发展方向, 从实用角度通过大量实例, 图文并茂地详细介绍了微机指令系统和各种算法编程技巧 为检验学习效果, 本书还附有两套自测试卷及参考答案, 供学生使用 本书既可作为高等学校计算机科学与技术 电子通信 自动化控制 软件工程等专业本科生的教材, 也可作为非计算机专业本科生或计算机专业大专生的教材, 同时还可作为机电一体化等相关专业研究生的教材 对于从事计算机应用与开发的科研及工程技术人员, 本书也极具参考价值 图书在版编目 (CIP) 数据 汇编语言程序设计教程 / 雷印胜等编著. 北京 : 科学出版社,2010 ( 高等教育 十二五 规划教材 ) ISBN Ⅰ. 1 汇 Ⅱ. 1 雷 Ⅲ.. 1 汇编语言 - 程序设计 - 高等学校 - 教材 Ⅳ. 1TP313 中国版本图书馆 CIP 数据核字 (2010) 第 号 策划 : 姜天鹏李洪旺责任编辑 : 王纯刚李瑜 / 责任校对 : 刘玉靖责任印制 : 吕春珉 / 封面设计 : 东方人华平面设计部 科学出版社发行 2011 年 2 月第一版 2011 年 2 月第一次印刷印数 : 出版北京东黄城根北街 16 号邮政编码 : 印刷 * 各地新华书店经销 开本 : /16 印张 :23 1/4 字数 : 定价 :35.00 元 销售部电话 编辑部电话 版权所有, 侵权必究举报电话 : ; ; 科学出版社职教技术出版中心

4 前 言 汇编语言程序设计是从事计算机系统开发人员必备的程序开发技术之一 在新信息和 三网 技术融合的时代, 汇编语言对从事计算机系统开发 嵌入式技术或用时间换空间技术研究人员而言是必须掌握的一门编程语言 ; 对自有知识产权的产品研发 自有品牌的制造 提高自身产品的质量和产量 由中国制造向中国创造发展起着不可替代的作用 这就急需造就一大批从事设计 开发和使用各种微型计算机应用系统的高级专门人才, 这也正是我们编写本书的主要目的 本书内容主要由基本篇 能力篇和自测篇三部分组成 第一部分由第 1~6 章组成, 包括微型计算机系统的组成和发展历程, 以 8086/8088 微处理器为主线, 讲述其工作原理 内部结构, 寻址方式和指令系统 其中采用较大的篇幅介绍了基本程序设计, 并用真实案例讲解了指令的正确使用方法和技巧 第二部分由第 7~8 章组成, 主要讲述了复杂程序设计实例和对汇编源程序进行 DEBUG 调试 第三部分的附录给出了两套自测试卷及其参考答案为自测篇, 供学生检验学习效果 本书具体内容如下 : 第 1 章 讲述了微型计算机系统的组成和发展历程, 重点讲解了微型计算机的系统组成和工作过程, 微型计算机结构,CPU 的发展历史, 计算机指令的演变过程 第 2 章 详细介绍了微型计算机的系统结构, 着重介绍了 8086/8088 微处理器的系统结构 工作模式 工作过程 8086/8088 指令系统与 8 位短处理器 8080/8085 的指令系统是向上兼容的, 但其寻址方式更加灵活, 数据处理能力较强, 并支持多微处理器系统 这样读者能更好地从深层次理解微处理器的各种性能和特点 第 3 章 重点讨论了 8086/8088 的寻址方式和 8086/8088 基本指令系统, 并对 80286/ 80386/80486 CPU 的新增指令做了比较详细的介绍, 同时给出某些指令的巧妙用法 第 4 章 重点讨论了 8086/8088 高级汇编语言指令技术, 主要包括伪指令和宏指令系统 结构和记录, 它们是掌握汇编语言程序设计的基础 同时介绍了程序的基本结构形式和子程序定义方法 第 5 章 重点讨论了各种常用 DOS(INT 21H) 和 BIOS 系统功能调用, 详细介绍了调用方法, 它们的引入和使用大大提高了编程效率 第 6 章 重点介绍了基本程序设计的知识 程序的基本结构有 : 顺序 分支和循环 3 种 任何复杂的程序都是由这 3 种基本结构组成的, 因此, 掌握好基本程序设计, 是编制复杂 大型应用程序的基础 特别是汇编语言的程序设计, 只有在掌握好基本程序设计的基础上, 才可能灵活地编制复杂 实用的程序 第 7 章 重点介绍了复杂程序设计的知识 使用汇编语言编制各种应用程序时, 往往会遇到数学运算问题, 其中介绍较多的是加 减 乘 除四则运算问题 同时, 本章还讲解了利用汇编语言编写各种复杂程序的设计方法和编程实用技巧 第 8 章 重点介绍开发计算机系统时所需的汇编语言的一些特殊命令及其用法 其

5 II 汇编语言程序设计教程 中包括 DEBUG 命令和汇编语言与高级语言的编程接口 附录 是对本书知识点的提炼, 包含了某些容易被读者忽视的内容, 通过自测题的训练, 可使读者进一步加深对知识的理解和掌握 本书由雷印胜教授 ( 工学博士, 在浪潮集团从事计算机系统研发 10 余年, 从事教学工作 10 余年 ) 提出编写思想, 并得到了山东大学控制科学与工程学院博士生导师孙同景教授的大力支持和帮助 本书由多位专家分工撰写, 作者都具有丰富的教学和企业研发经验, 而且在写作过程中力求语言简洁 通俗易懂 本书第 1 章由毛红霞编撰, 第 2 章由贾萍编撰, 第 3 章由秦然编撰, 第 4 章由张晓瑷编撰, 第 5 章由柳欣 王黎峰编撰, 第 6 章由胡晓鹏编撰, 第 7 章由雷印胜编撰, 第 8 章由张婷婷 孙兴编撰, 自测试卷及参考答案由崔琦 马冰冰编撰 全书由雷印胜教授统稿 胡晓鹏老师和王曙光同学参与了书中插图的绘制, 并做了大量的文字校对工作, 在此深表感谢 本书既可作为高等学校计算机科学与技术 电子通信 自动化控制 软件工程等专业本科生的教材, 也可作为非计算机专业本科生或计算机专业大专生的教材, 同时还可作为机电一体化等相关专业研究生的教材 对于从事计算机应用与开发的科研及工程技术人员, 本书也极具参考价值 由于作者水平有限, 书中难免有不妥之处, 敬请广大读者不吝指正 编者 2010 年 11 月 科学出版社职教技术出版中心

6 目 录 基础篇 第 1 章微型计算机系统概述 微型计算机系统简介 微型计算机发展史 微处理器的性能特点 计算机的发展趋势 微型计算机应用领域 微型计算机系统的基本组成 微型计算机硬件和软件概述 微型计算机结构 RISC 和 CISC 习题与综合练习...13 第 2 章微型计算机系统结构 /8088 微处理器 CPU 结构 寄存器结构 /8088 的引脚介绍 工作模式 最小工作模式和系统总线周期时序 最大工作模式 工作过程 一个完整的源程序 习题与综合练习...33 第 3 章 80X86 指令系统 寻址方式 操作数类型 寻址方式 指令系统 数据传送指令 算术运算指令 逻辑运算指令 移位指令 转移指令...65

7 IV 汇编语言程序设计教程 字符串操作指令 处理器控制指令 输入 / 输出指令 中断指令 某些指令的巧妙用法 /80386/80486 CPU 的新增指令 /80386/80486 CPU 实地址存储器管理 CPU 相对 8086 CPU 增加的指令 CPU 相对 CPU 增加的指令 CPU 相对于 CPU 增加的指令 习题与综合练习 第 4 章高级汇编语言指令技术 汇编语言语句类型 语句类别 语句结构 指令语句操作数 表达式用运算符和操作符 汇编语言伪指令 符号定义伪指令 数据定义伪指令 段和模块定义伪指令 模块通信伪指令 列表控制伪指令 子程序定义伪指令 其他伪指令 宏汇编伪指令 结构和记录 科学出版社职教技术出版中心 结构 记录 条件汇编 汇编语言程序设计 汇编语言源程序的一般结构 段寄存器的装填 IBM-PC 中程序正确返回 DOS 问题 检查程序执行结果的简单方法 习题与综合练习 第 5 章 DOS 和 BIOS 系统功能调用 DOS 系统功能调用 概述...138

8 目 录 V DOS 功能调用分组 常用的 DOS INT 21H 功能调用 磁盘文件管理 BIOS 功能调用 概述 常用的 BIOS 功能调用 图形显示程序设计 习题与综合练习 第 6 章基本程序设计 顺序程序设计 存储单元内容移位 乘法运算与乘 10 运算 屏蔽与组合 字节分离 单字节压缩 BCD 数加法运算 两个字节的二进制数加法 取数的反码和补码 平方表 分支程序设计 单重分支结构程序 多重分支结构程序 循环程序设计 循环程序的结构 单重循环程序 多重循环程序 循环次数未知的循环程序 位 控制循环程序 子程序设计 子程序与主程序 子程序段内调用和返回 子程序段间调用和返回 调用程序和子程序间的参数传递 寄存器内容的保护 子程序的嵌套使用 关于递归子程序 可重入子程序 具有模块结构的程序设计 概述 模块的组合方式 模块间的通信...214

9 VI 汇编语言程序设计教程 模块化程序设计的注意点 习题与综合练习 能力篇 第 7 章复杂程序设计 定点数算术运算程序 定点数运算的概念 定点数加法运算 定点数减法运算 定点数乘法运算 定点数除法运算 浮点数算术运算程序 浮点数概念 浮点数的规格化 浮点数加减运算 浮点数乘除运算 代码转换 二进制码与 ASCII 码间的相互转换 二进制码与 BCD 码间的相互转换 二进制数到八段显示码的转换 字符数据处理 字符串比较 字符串检索 字符的删除与插入 字符串统计 表处理 科学出版社职教技术出版中心 表的查询 表的插入与删除 检索 顺序检索 折半检索 散列值检索 排序 交换排序 选择排序 插入排序 一个完整的模块化程序设计示例 习题与综合练习...288

10 目 录 VII 第 8 章汇编语言中一些特殊命令的用法 EXE 文件和.COM 文件 程序段前缀 汇编程序 (ASM,MASM) 汇编程序的类别 汇编过程 运行环境 操作过程 汇编操作示例 连接程序 (LINK) 连接程序的作用 连接过程 LINK 的使用与操作 调试程序 (DEBUG) DEBUG 功能及其启动 DEBUG 各命令的用法 应用举例 符号调试程序 SYMDEB 简介 上机操作辅助程序介绍 显示 ( 或打印 ) 单个字符 显示字符串 键入单个字符 键入字符串 程序正常结束 汇编语言与高级语言的连接 TURBO C 调用汇编子程序 TURBO C 行间嵌入汇编 习题与综合练习 自测篇 附录自测试卷及参考答案 自测试卷一 自测试卷一参考答案 自测试卷二 自测试卷二参考答案 参考文献...361

11 第 1 章 微型计算机系统概述 本章学习目标 了解计算机的发展历程和主要性能指标 理解 冯 诺依曼 体系结构和工作原理 熟悉未来计算机的发展趋势和主要应用领域 掌握微型计算机的基本组成结构 掌握计算机硬件和软件的功能以及相互依赖关系 科学出版社职教技术出版中心

12 2 汇编语言程序设计教程 1.1 微型计算机系统简介 微型计算机发展史微型计算机的 CPU 系统分为两大系列 :Zilog 生产的 Z8000 Motorola MC68000 系列和 Intel 80X86 系列, 本书主要讲解 Intel 80X86 系列微型计算机 1946 年, 在美国宾夕法尼亚大学研制出世界上第一台电子计算机 ENIAC 这台电子计算机初露头角, 便在计算圆周率上大显身手 英国数学家契依列用了 15 年时间, 于 1873 年将圆周率的值计算到小数点后的 707 位, 这是人工计算圆周率的最高纪录 而且, 电子计算机 ENIAC 每秒钟能做 5000 次加减运算, 因此, 仅用几十分钟就打破了这项纪录, 但后来发现契依列计算的结果从 528 位开始的各位数全是错的 ENIAC 在当时是了不起的, 但是, 把它与现代计算机相比较就相形见绌了 ENIAC 重 30t, 使用 个真空电子管, 个电阻器, 占地约 140m 2, 耗电 174kW, 稳定工作时间只有几小时 而现在功能与它相当的计算机仅重 60g, 耗电只需 0.7W, 可以长时间连续工作 为什么 ENIAC 与现代计算机相差这么大? 原因主要在于它们的元器件不同 从 1946 年至今,CPU 既可以按其组成的逻辑元件划分, 也可以按 CPU 的生产年代进行划分 1. 按所采用的逻辑元件可划分为 4 代第 1 代 (1946~1957 年 ), 电子管计算机, 也叫真空管计算机, 采用电子管做主要元器件, 所有指令与数据都用 1 或 0 来表示, 分别对应电子器件的 接通 与 关断, 这就是计算机可以理解的机器语言 内存储器采用磁芯, 外存储器有纸带 卡片 磁带 磁鼓等, 内存容量仅几千字节, 运算速度仅为每秒几千次 输入 / 输出主要用穿孔卡, 速度很慢 第一代计算机大多用于科学计算 第 2 代 (1958~1964 年 ), 晶体管计算机, 它的主要逻辑元件是晶体管 内存储器普遍采用磁芯, 外存储器用磁带和磁盘等, 这就使存储容量增大, 可靠性提高 晶体管有一系列优点 : 体积小 重量轻 耗电省 速度快 寿命长 价格低 功能强 用它做计算机的开关元件使机器的结构与性能都发生了新的飞跃 这时, 汇编语言取代了机器语言, 出现了高级程序设计语言, 如 ALGOL60 FORTRAN COBOL 等 应用领域也扩大到数据处理和事务管理中 第 3 代 (1965~1970 年 ), 中小规模集成电路计算机, 它的主要逻辑元件是中小规模集成电路 所谓集成电路, 是指将晶体管 电阻 电容等电子元件构成的电路微型化, 并集成在一块如同指甲大小的硅片上 用半导体存储器取代磁芯存储器, 内存容量大幅度增加, 运算速度为每秒几十万次至几百万次 高级程序设计语言在这一时期得到了很大发展, 出现了操作系统和会话式语言 计算机开始广泛应用于各个领域

13 第 1 章 微型计算机系统概述 3 第 4 代 (1970 年至今 ), 大规模或超大规模集成电路计算机, 它的主要逻辑元件是大 规模或超大规模集成电路 不仅使计算机进一步微型化, 而且提高了性能, 降低了价格, 为其广泛应用创造了条件 运算速度达到每秒几百万次以上, 操作系统不断完善, 计算机网络时代开始 2. 按 CPU 的生产年代可划分为 7 代 目前的计算机均以 Intel 公司的 X86 系列或其他与之兼容的 CPU 从第 1 代 PC 问世至今,CPU 已发展到第 7 代, 相应产生了 7 个档次的计算机系列 第 1 代,1981 年,IBM 公司推出的采用 8088 为 CPU 的 IBM PC/XT 机, 作为第 1 代计算机的代表, 它是准 16 位微型计算机 第 1 代计算机主要流行于 20 世纪 80 年代中期 第 2 代,1985 年,IBM 公司推出了 IBM PC/AT 机, 标志着第 2 代计算机的诞生 该机采用 为 CPU, 它是标准的 16 位 CPU 计算机, 内存容量配置可达 16MB, 其数据处理和存储能力都得到了明显提高, 可以运行多任务操作系统, 是 20 世纪 80 年代末的主流机型 第 3 代,1987 年,Intel 公司推出了 微处理器 (80386 处理器分为 SX 和 DX, DX 性能优于 SX), 标志着 32 位 CPU 计算机的诞生, 内存容量配置可达 2 32 =4096MB, 由该档次 CPU 组装的计算机称为 386 计算机 第 4 代,1987 年,Intel 公司推出了 微处理器, 它也分为 SX 和 DX 两个档次, 这就是通常所说的 486 计算机 第 5 代,1993 年,Intel 公司推出了 Pentium 微处理器, 标志着第 5 代计算机的诞生 ; 1997 年,Intel 公司又推出了多功能 Pentium MMX 处理器, 这就是通常所说的奔腾计算机 第 6 代,1998 年,Intel 公司推出了 PentiumⅡ Celeron, 后来又推出了 Pentium Ⅲ Pentium Ⅳ, 均为第 6 代 CPU, 这标志着第 6 代计算机的诞生 第 7 代,2003 年,AMD 公司推出了面向台式机的 64 位处理器 Athlon 64, 标志着 64 位计算机的诞生 2005 年,Intel 公司和 AMD 公司相继发布了台式机的双核心 CPU 三核心 CPU 和四核心 CPU, 至此, 计算机进入了多核心的第 7 代 微处理器的性能特点 科学出版社职教技术出版中心 年,Intel 公司开发出了第一代微处理器 4004 它是一个 4 位的微处理器, 自身含有计算和逻辑功能, 由 2250 个 MOS 晶体管构成, 每秒内能够执行约 6 万次操作 含有一个累加器,16 个用作暂存数据的寄存器, 可寻址 640 字节的内存, 指令集含有 45 条指令 4004 作为一般处理器来讲, 功能还不够强, 只能作为计数器的核心来使用 但它是一种新思想的第一代产物 自从 4004 微处理器诞生以来,CPU 技术发展迅速 由于不同型号 CPU 的指标不同, 决定了其硬件系统的档次也不同 如表 1-1 所示为近年来常见的 CPU 型号及其主要指标

14 4 汇编语言程序设计教程 表 1-1 Intel 常见 CPU 一览表 年 份 CPU 型号 速度 /MHz 内部总线 / 位 外部总线 / 位 ~ SX 16~ DX 16~ ~ Pentium(586) 75~ PentiumⅡ 266~ Pentium III 500~ Pentium IV 1400~ Itanium 1400~ Itanium ~ Pentium D 双核 1333~ Core 2 双核以上 1500~ 计算机的发展趋势 目前计算机的发展方向是 : 巨型化 微型化 网络化 智能化和新概念计算机 1. 巨型化巨型化是指使计算机系统运算速度更高 存储容量更大 功能更完善 巨型机主要用于尖端科技和国防系统的研究与开发, 它的研制集中体现了一个国家科学技术发展的水平 目前巨型机 蓝色基因 /P 已经达到每秒 3000 万亿次浮点运算, 它在航空航天 军事工业 气象 人工智能等几十个学科领域发挥着巨大的作用, 特别是在复杂的大型科学计算领域, 而且其他的机种难以与之抗衡 2. 微型化微型化得益于大规模和超大规模集成电路的飞速发展 微处理器自 1971 年问世以来, 发展非常迅猛, 几乎每隔两三年就会更新换代一次, 这也使以微处理器为核心的微型计算机性能不断提升 据统计, 仅在 2007 年第 3 季度全球销售的 PC 就达到 6850 万台 另外, 便于携带的笔记本电脑 掌上型计算机以及形形色色的嵌入式专用计算机也不断推出 3. 网格化目前大部分计算机实现了联网, 即利用现代通信技术和计算机技术把分布在不同地点的计算机互连起来, 按照网络协议相互通信, 初步实现了共享数据和软硬件资源的目的 但是信息的搜索和整合还需要手工完成, 效率较低 网格 (Grid) 技术可以更好地管理网上资源, 它把整个互联网虚拟成一台空前强大的一体化信息系统, 犹如一台巨型机, 并在这个动态变化的网络环境中, 实现计算资源 存储资源 数据资源 信息资源 知识资源 专家资源的全面共享 从而使用户从中享受可灵活控制的 智能的 协作式的信息服务, 并获得前所未有的便捷性和超强能力 目前, 世界上的主要国家和地区都把发展网格技术

15 第 1 章 微型计算机系统概述 5 提到了战略高度, 纷纷投入巨资, 抢占战略制高点 4. 智能化 智能化就是要求计算机具有模拟人的思维和感觉的能力, 也是第 5 代计算机要实现的 目标 智能化的研究领域包括 : 自然语言的生成与理解 模式识别 自动定理证明 自动程序设计 专家系统 学习系统 智能机器人等 目前已研制出多种具有人的部分智能的机器人, 可以代替人在一些危险的工作岗位上工作 有人预测, 家庭智能化的机器人将是继 PC 之后, 下一个家庭普及的信息化产品 5. 新概念计算机 尽管目前计算机的发展日新月异, 但从本质上来说, 其所采用的基本元件仍然未超出 4 代机的范畴 随着技术的创新和发展, 一些新概念计算机也陆续出现, 有的甚至开始走出实验室, 进入应用领域 1) 神经计算机 : 模仿人类大脑功能的神经计算机已经开发成功, 它标志着电子计算机的发展进入了一个新的时期 与以逻辑为主的计算机不同, 神经计算机本身可以判断对象的性质与状态, 并能采取相应的行动, 而且它可同时并行处理实时变化的大量数据, 并得出结论 以往的信息处理系统只能处理条理清晰 经络分明的数据, 而人的大脑却具有处理支离破碎 含糊不清信息的灵活性 另外, 神经计算机的信息不是存在于存储器中, 而是存储在神经元之间的联络网中的 若有节点断裂, 计算机仍有重建资料的能力 同时, 它还具有联想记忆 视觉和声音识别能力, 具有与人脑类似的智慧和灵活性 它能识别文字 符号 图形 语言以及声纳和雷达收到的信号, 判读支票, 对市场进行估计, 分析新产品, 进行医学诊断, 控制智能机器人, 实现汽车和飞行器的自动驾驶, 发现和识别军事目标, 进行智能指挥等 2) 超导计算机 : 随着高温超导技术的迅速发展, 科学家们正试图寻找出一种 高温 甚至 室温 的超导材料 一旦找到这些材料, 人们就可以利用它来制成超导开关器件和超导存储器, 再利用这些器件制成超导计算机 超导计算机的运算速度比现在的电子计算机快 100 倍, 而电能消耗仅是电子计算机的千分之一 如果有一台中型计算机每小时耗电 10kW, 那么, 一台超导计算机只需一节干电池就可以工作 3) 光子计算机 : 现有的计算机是由电子来传递和处理信息的 光子计算机用光子取代电子, 通过光纤进行数据传输 运算和存储 光子计算机用不同波长的光表示数据, 这远胜于电子计算机中通过 0 1 状态变化进行的二进制运算, 可以对复杂度高 计算量大的任务实现快速的并行处理, 光子计算机将使运算速度在目前基础上以指数级提升 4) 生物计算机 : 人类利用遗传工程技术仿制出以具有 开 与 关 功能的蛋白质分子作为元件的计算机 它体积小, 功效高 在 1mm 2 的面积上可容纳几亿个电路, 比目前的集成电路小得多, 用它制成的计算机已经不是现在计算机的形状了, 它可以隐藏在桌角 墙壁或地板等地方 当生物计算机的内部芯片出现故障时, 不需要人工干预就能自我 科学出版社职教技术出版中心

16 6 汇编语言程序设计教程 修复 它需要很少的能量就可以工作, 而且电路间也没有信号干扰 所以, 生物计算机具有永久性和很高的可靠性 5) 量子计算机 : 它是一类遵循量子力学规律进行高速数学和逻辑运算 存储及处理量子信息的物理装置 经典计算机的基本信息单位为比特 (bit), 运算对象是各种比特序列 与此类似, 量子计算机的基本信息单位是量子比特, 运算对象是量子比特序列 经典计算机和量子计算机之间存在一个关键的区别 : 传统计算机遵循着众所周知的经典物理规律, 而量子计算机则是遵循着独一无二的量子动力学规律来实现信息处理的新模式 迄今为止, 世界上还没有真正意义上的量子计算机 微型计算机应用领域 计算机的应用领域已渗透到社会的各行各业, 正在改变着传统的工作 学习和生活方式, 推动着社会的发展 计算机的主要应用领域如下 1. 科学计算 ( 或数值计算 ) 科学计算是指利用计算机来完成科学研究和工程技术中提出的数学问题的计算 在现代科学技术工作中, 科学计算问题是大量的 复杂的 利用计算机的高速计算 大存储容量和连续运算的能力可以实现人工无法解决的各种科学计算问题 2. 数据处理 ( 或信息处理 ) 数据处理是对各种数据进行收集 存储 整理 分类 统计 加工 利用 传播等一系列活动的统称 据统计,80% 以上的计算机主要用于数据处理, 这类工作的工作量大而且应用范围广泛, 决定了计算机应用的主导方向 数据处理从简单到复杂已经历了 3 个发展阶段, 如下所列 1) 电子数据处理, 它是以文件系统为手段, 实现一个部门内的单项管理 2) 管理信息系统, 它是以数据库技术为工具, 实现一个部门的全面管理, 以提高工作效率 3) 决策支持系统, 它是以数据库 模型库和方法库为基础, 帮助管理决策者提高决策水平, 改善运营策略的正确性与有效性 目前, 数据处理已广泛地应用于办公自动化 企事业计算机辅助管理与决策 情报检索 图书管理 电影电视动画设计 会计电算化等各行各业 信息正在形成独立的产业, 多媒体技术使信息展现在人们面前的不仅是数字和文字, 也有声形并茂的声音和图像信息 3. 辅助技术 ( 或计算机辅助设计与制造 ) 计算机辅助技术包括 CAD CAM 和 CAI 等 (1) 计算机辅助设计 (Computer Aided Design,CAD) 计算机辅助设计是利用计算机系统辅助设计人员进行工程或产品设计, 以实现最佳设计效果的一种技术 它已广泛应用于飞机 汽车 机械 电子 建筑和轻工等领域 例如,

17 第 1 章 微型计算机系统概述 7 在电子计算机的设计过程中, 利用 CAD 技术进行体系结构模拟 逻辑模拟 插件划分 自动布线等, 从而极大地提高了设计工作的自动化程度 又如, 在建筑设计过程中, 可以利用 CAD 技术进行力学计算 结构计算 绘制建筑图纸等, 这样不但提高了设计速度, 而且可以极大提高设计质量 (2) 计算机辅助制造 (Computer Aided Manufacturing,CAM) 计算机辅助制造是利用计算机系统进行生产设备的管理 控制和操作的过程 例如, 在产品的制造过程中, 用计算机控制机器的运行, 处理生产过程中所需的数据, 控制和处理材料的流动以及对产品进行检测等 使用 CAM 技术可以提高产品质量, 降低成本, 缩短生产周期, 提高生产率和改善劳动条件 将 CAD 和 CAM 技术集成, 实现设计生产自动化, 这种技术被称为计算机集成制造系统 (CIMS), 它的实现将真正做到无人化工厂 ( 或车间 ) (3) 计算机辅助教学 (Computer Aided Instruction,CAI) 计算机辅助教学是利用计算机系统使用课件来进行教学 课件可以使用制作工具或高级语言来开发制作, 它能引导学生循序渐进地学习, 使他们轻松自如地从课件中学到所需要的知识 CAI 的主要特色是交互教育 个别指导和因人施教 4. 过程控制 ( 或实时控制 ) 过程控制是利用计算机及时采集检测数据, 按最优值迅速地对控制对象进行自动调节或自动控制 采用计算机进行过程控制, 不仅可以极大提高控制的自动化水平, 而且可以提高控制的及时性和准确性, 从而改善劳动条件 提高产品质量及合格率 因此, 计算机过程控制已在机械 冶金 石油 化工 纺织 水电 航天等部门得到广泛应用 例如, 在汽车工业方面, 利用计算机控制机床和整个装配流水线, 不仅可以实现精度要求高 形状复杂的零件加工自动化, 而且可以使整个车间或工厂实现自动化 5. 人工智能 ( 或智能模拟 ) 人工智能 (Artificial Intelligence,AI) 是计算机模拟人类的智能活动, 如感知 判断 理解 学习 问题求解和图像识别等 现在人工智能的研究已取得不少成果, 有些已开始走向实用阶段 例如, 能模拟高水平医学专家进行疾病诊疗的专家系统, 具有一定思维能力的智能机器人等 6. 网络应用 科学出版社职教技术出版中心 计算机技术与现代通信技术的结合构成了计算机网络 计算机网络的建立, 不仅解决了一个单位 一个地区 一个国家计算机与计算机之间的通信, 各种软 硬件资源的共享, 也极大促进了国际间的文字 图像 视频和声音等各类数据的传输与处理 1.2 微型计算机系统的基本组成 微型计算机是计算机中应用最为广泛的一类 一个完整的微型计算机系统应该包括硬件系统和软件系统两大部分 随着计算机技术的飞速发展, 计算机的硬件和软件正朝着相

18 8 汇编语言程序设计教程 互渗透和相互融合的方向发展, 在计算机系统中, 硬件与软件之间的分界线越来越模糊 而且计算机硬件和计算机软件既相互依存, 又互为补充 可以这样说, 硬件是计算机系统的躯体, 软件是计算机的头脑和灵魂 一般微型计算机系统的整体结构如图 1-1 所示 图 1-1 微型计算机系统的整体结构框图计算机硬件系统由 5 大部分组成 : 运算器 控制器 存储器 输入设备 输出设备 计算机软件可分为系统软件和应用软件两大类 1) 系统软件 : 系统软件是计算机必备的, 用以实现计算机系统的管理 控制 运行 维护, 并完成应用程序的装入 编译等任务 系统软件与具体应用无关, 是在系统一级上提供的服务 常用的系统软件有操作系统 编译程序 语言处理程序 系统支撑软件和数据库管理系统等, 如下所列 1 操作系统 :DOS Windows 95/98/2000/XP/2003/Vista Windows 7 Windows NT OS/2 UNIX Linux 等 2 编译程序 : 机器语言 汇编语言和高级语言 3 数据库管理系统 :FoxPro Access Oracle Sybase DB2 和 Informix 等 2) 应用软件 : 应用软件是为了解决计算机应用中的实际问题而编制的程序 它包括商品化的通用软件和实用软件, 也包括用户自己编制的各种应用程序 微型计算机硬件和软件概述 1. 硬件 1945 年, 美籍匈牙利科学家冯 诺依曼提出了一个 存储程序 的计算机方案 这个方案包含以下 3 个要点 1) 采用二进制数的形式表示数据和指令 2) 将指令和数据存放在存储器中 3) 计算机硬件由控制器 运算器 存储器 输入设备和输出设备 5 部分组成

19 第 1 章 微型计算机系统概述 9 冯 诺依曼工作原理的核心是 程序存储 和 程序控制, 就是通常所说的 顺序 存储程序 概念 它的基本工作原理如图 1-2 所示 图 1-2 冯 诺依曼工作原理示意图 下面简要介绍根据冯 诺依曼原理划分的计算机 5 种硬件组成 (1) 中央处理器 同时具有控制和处理功能的关键部件 微处理器 ( 中央处理器,CPU) 是计算机中最关键的部件, 是由超大规模集成电路 (VLSI) 工艺制成的芯片, 并由控制器 运算器 寄存器组和辅助部件组成 1) 控制器 : 起控制作用的部分, 是硬件系统的指挥部 它负责从存储器中取出指令 分析指令 确定指令类型并对指令进行译码, 按时间先后顺序负责向其他各部件发出控制信号, 保证各部件协调工作 2) 运算器 : 处理数据的部分 它又称算术逻辑单元 (Arithmetic Logic Unit,ALU) 运算器是用来进行算术运算和逻辑运算的元件 3) 寄存器组 : 用来存放当前运算所需的各种操作数 地址信息 中间结果等内容 将数据暂时存于 CPU 内部寄存器中, 加快了 CPU 的操作速度 通常寄存器组的速度远远超过内存, 所以能有效提高 CPU 的运行效率 (2) 存储器 存放程序和数据的部件存储器是计算机的记忆部件, 负责存储程序和数据, 并根据控制命令提供这些程序和数据 存储器分两大类 : 一类和计算机的运算器 控制器直接相连, 称为主存储器 ( 内部存储器 ), 简称为计算机的主存 ( 内存 ); 另一类存储设备称为辅助存储器 ( 外部存储器 ), 简称为辅存 ( 外存 ) 内存一般由半导体材料构成, 存取速度快, 价格较贵, 因而容量相对小一些 ; 辅存一般由磁记录设备构成, 如硬盘 软盘 磁带等, 容量较大, 价格便宜, 但速度相对慢一些 内部存储器分为只读存储器 ROM(Read Only Memory) 和随机存储器 RAM(Random Access Memory) ROM 主要用来存放固定不变的程序和数据, 如 BIOS 程序, 这种存储器中的信息只能读出而不能随意写入, 它们是厂商在制造时用特殊方法写入的, 断电后其中的信息不会丢失 ;RAM 是一种可读写存储器, 其内容可以随时根据需要读出, 也可以随时重新写入新的信息 由于信息是通过电信号写入的, 因此, 在计算机断电后 RAM 中的信息会丢失 外部存储器主要用来长期存储那些暂时不用的程序和数据 它的特点是存储容量大 科学出版社职教技术出版中心

20 10 汇编语言程序设计教程 可靠性高 比内存价格低, 其中的数据不靠电源来维持, 在断电后也可永久地保存信息 外存包括软盘存储器 硬盘存储器 光盘存储器 磁带存储器等几大类 其中, 光盘分为 3 类 : 只读型光盘 ROM(CD-ROM/DVD-ROM) 一次写入型光盘 Record(CD-R/DVD-R) 可擦写型光盘 RW(CD-RW/DVD-RW) (3) 输入设备 向计算机输入程序和数据的设备输入设备是向计算机输入程序 数据和命令的部件, 它的主要功能是把原始数据和处理这些数据的程序转换为计算机能够识别的二进制代码 常见的输入设备包括键盘 鼠标 扫描仪 光笔 数字化仪 数码相机 话筒等 (4) 输出设备 计算机向用户输出处理结果的设备输出设备是用来输出经过计算机运算或处理后所得的结果, 并将结果以字符 数据 图形等人们能够识别的形式输出 常见的输出设备有显示器 打印机 投影仪 绘图仪 声音输出设备等 2. 软件除了硬件系统外, 微型计算机还必须配备优秀的软件系统才能发挥其性能 软件系统又可以分为系统软件和应用软件两大类 (1) 系统软件系统软件是管理 监控 维护计算机资源 ( 包括硬件与软件 ) 的软件 它包括操作系统 高级语言的编译和解释程序 各种语言处理程序 系统支撑软件 ( 微机的监控管理程序 调试程序 故障检查和诊断程序 ) 各种数据库管理系统以及工具软件等 1) 操作系统 : 操作系统在系统软件中处于核心地位, 其他系统软件要在操作系统的支持下工作 常用的操作系统有 DOS Windows 95/98/2000/XP/2003/Vista Windows 7 Windows NT OS/2 UNIX Linux 等 2) 程序设计语言 : 它是软件系统的重要组成部分, 而相应的各种语言处理程序属于系统软件 程序设计语言一般分为机器语言 汇编语言 高级语言和第 4 代语言共 4 类 1 机器语言 : 机器语言是最底层的计算机语言, 是用二进制代码指令表达的计算机语言, 能被计算机硬件直接识别并执行, 由操作码和操作数组成 机器语言程序编写的难度较大且不容易移植, 即针对一种计算机编写的机器语言程序不能在另一种计算机上运行 2 汇编语言 : 汇编语言是用助记符代替操作码, 用地址符代替操作数的一种面向机器的低级语言, 一条汇编指令对应一条机器指令 由于汇编语言采用了助记符, 它比机器语言易于修改 编写 阅读, 但用汇编语言编写的程序 ( 称汇编语言源程序 ) 机器不能直接执行, 必须使用汇编程序把它翻译成机器语言即目标程序后, 才能被机器理解 执行, 这个编译过程称为汇编 3 高级语言 : 直接面向过程的程序设计语言称为高级语言, 它与具体的计算机硬件无关, 用高级语言编写的源程序可以直接运行在不同机型上, 因而具有通用性 但是, 计算机不能直接识别和运行高级语言, 必须经过 翻译 所谓 翻译 是指由一种特殊程序把源程序转换为机器码, 这种特殊程序就是语言处理程序 高级语言的翻译方式有两种 :

21 第 1 章 微型计算机系统概述 11 一种是 编译方式, 另一种是 解释方式 编译方式是通过编译程序将整个高级语言源程序翻译成目标程序 (.OBJ), 再经过连接程序生成为可以运行的程序 (.EXE); 解释方式是通过解释程序边解释边执行, 不产生可执行程序 最常用的高级语言有 Basic Fortran C 等 4 第 4 代语言 : 面向对象的编程语言, 一般有可视化 网络化 多媒体等功能 目前较流行的第 4 代编程语言有 Visual Basic Visual C++ Java Visual FoxPro Delphi Power Builder 等 3) 各种程序设计语言的处理程序 : 如把汇编语言转换为机器语言的汇编程序, 把高级语言转换为机器语言的编译程序或解释程序和作为软件研制开发工具的编辑程序 装配连接程序以及数据库管理程序等 4) 工具软件 : 又称服务软件, 如机器的监控管理程序 调试程序 故障检查程序和诊断程序等 这些工具软件为用户编制计算机程序及使用计算机提供了很大的方便 (2) 应用软件应用软件是用户为了解决实际问题而编制的各种程序 如各种工程计算 模拟过程 辅助设计和管理程序 文字处理和各种图形处理软件等 在微机中常用的应用软件有各种 CAD 软件 Microsoft Office 2000/2003 PhotoShop IE 等 微型计算机结构 典型的微型计算机结构如图 1-3 所示 图 1-3 微型计算机结构 如图 1-3 所示的是微机系统的外部结构 图中可见外部信息的传送是通过总线进行的, 由于采用了这种总线结构, 微机系统中存储器和外设之间可直接进行信息的传输, 也就是常说的 DMA(Direct Memory Access) 微机系统的内部结构将在后面章节中介绍 RISC 和 CISC 科学出版社职教技术出版中心 目前, 微处理器体系结构从指令组成意义上来看基本分成两大类 : 一类是 CISC( 复杂指令集计算机 ) 体系结构, 另一类是 RISC( 精简指令集计算机 ) 体系结构

22 12 汇编语言程序设计教程 复杂指令系统 : 在微型计算机的体系组成结构上是以复杂指令为主设计的计算机, 在指令的运行过程中按指令的复杂程度来指挥计算机完成各条指令 由于各条指令复杂程度不同, 分配的时钟周期各不相同, 执行指令所需时间就不相同 CISC 体系的指令集由微程序来实现, 即每一个操作由若干微操作的程序组合来实现, 所以 CISC 可以使用微指令编程的方式实现多种和功能复杂的指令 精简指令系统 : 不管计算机的指令如何复杂, 都能在一个计算机时钟周期内完成, 计算速度快, 指令集简单 RISC 是从 CISC 的基础上发展起来的 对 CISC 的测试表明, 其中占资源 20% 的简单指令在程序中出现的频率达到 80%, 而其余占资源 80% 的复杂指令出现的频率只有 20%, 造成资源的极大浪费 再加上复杂的指令系统必然增加硬件实现的复杂性, 从而增加了研制时间和成本 因此,RISC 应运而生 在 RISC 体系的指令集中, 它的每一条指令直接由硬布线实现 即它的每条指令原则上由自己的一套逻辑时序电路直接实现, 所以单条指令的实现所占用的硬件资源较多 因为该体系没有能采用增加单条指令的功能或高位的指令语义, 也没有增加指令的条数, 而是集中于它的精简指令集上 不论是 CISC 体系还是 RISC 体系, 它们本质上都属于冯 诺依曼体系结构范畴 因此具有冯 诺依曼体系的如下制约 1) 操作瓶颈制约 : 因为冯 诺依曼体系结构本质包括串行性和顺序性的控制机理, 对数据和资源的控制及仲裁均是人为决定的, 所以构成了时间和空间的极大开销, 造成冯氏数据流的拥塞 2) 算法的制约 : 冯氏体系的重大贡献在于将所有应用问题建立在四则运算和逻辑运算的组合算法, 并以寄存器为基本模型的存储体系上, 但它在基本操作的控制上仍是一种串行机制, 不具备构造一个并行算法的基础 在串行的模型上去建立并行算法必定会带来困难和效率的损失 3) 存储模型的制约 : 存储模型在冯 诺依曼体系结构中是一种被动式的访问机制, 不能真正地体现人类在并行操作行为中经常反映的无破坏性操作和平等交互赋值运行的需求, 因此冯 诺依曼的存储模型结构仅能在运行时以空间为代价进行复制或以时间为代价进行选择来替代这种制约 这将对提高信息处理能力有很大的限制 由于 CISC 和 RISC 体系结构持续发展具有局限性, 人们开始进行其他微处理器体系结构及其相关技术的研究, 主要包括超长指令字 (VLIW) 单芯片多处理器 多线程超标量 处理器存储器耦合等 VLIW 指的是一种指令集设计思想与技术, 它利用编译器把若干个简单的 无相互依赖的操作压缩到同一个非常长的指令字中 当超长指令字从 cache 或主存读取到处理器时, 可以容易地分割出各个操作, 并一次性分别分派到多个独立的执行单元中并行执行 单芯片多处理器体系 随着 VLSI 工艺水平的提高, 在 0.25mm 工艺下, 单片可以集成 20 个 (32KB cache); 在 2010 年的 0.07mm 工艺下, 单片可以集成 60 个 水平的微处理器 将来 SMP( 对称多处理器 ) 系统可以完全集成在一个芯片内 多线程技术结合了指令级现场交换和顺序调度技术 线程是一组静态排序的指令序

23 第 1 章 微型计算机系统概述 13 列, 一旦第一条指令开始执行, 后续指令即开始执行而不中断 线程是执行调度的基本单位, 多个线程可以并发 ( 并行 ) 执行, 以达到互相隐藏延迟操作和提高并行度的效果 密切关注 : 复杂指令集和精简指令集系统是两种不同的计算机结构, 两者互不兼容 1.3 习题与综合练习 1. 解释和区别下列名词术语 (1) 微处理器 (MP) 微型计算机(MC) 和微型计算机系统 (MCS) (2) 硬件 软件 (3) 字节 字 字长 (4) 指令指针 指令寄存器 指令译码器 状态寄存器 (5) 存储单元 存储内容 存储地址 存储容量 (6)RAM ROM 软件固化 2. 冯 诺依曼计算机结构的特点是什么? 3. 简述计算机系统中复杂指令集和精简指令集的特点和用途 4.CPU 是计算机系统中的重要部件, 试说明 CPU 的结构和功能 5. 微型计算机由哪几部分组成? 各部分的作用是什么? 请画出组成原理示意图 6. 试说明输入设备和输出设备的作用, 并举出几个常用的 I/O 设备实例 7. 简述不同年代微处理器的结构特点 性能指标和未来发展趋势 科学出版社职教技术出版中心

24 第 2 章 微型计算机系统结构 本章学习目标 了解汇编语言程序设计的源程序总体结构和指令的执行过程 理解微型计算机 8086/8088 CPU 的内部结构和外部引脚的含义 充分理解微型计算机 8086/8088 CPU 的工作模式和适用的范围 理解 8086/8088 CPU 系统总线时序 总线周期的组成和完成的目标任务 掌握 8086/8088 CPU 内部段寄存器和通用寄存器的隐含及替代使用方法 熟练掌握 8086/8088 CPU 标志寄存器中的各标志位所表示的具体意义和产生的条件

25 第 2 章 微型计算机系统结构 /8088 微处理器 CPU 结构 为了说明 8086 CPU 的结构, 需要先了解 CPU 的功能, 然后考虑需要什么样的结构才能够实现这种功能 这样的一种学习思路可以使读者更容易理解 CPU 的各个组成结构, 不会孤立地去学习, 否则也许很熟悉各个部分, 但却不知道为什么会这样 CPU 的功能概括起来就是 执行指令 一个程序一般都有很多条指令, 不可能把这些指令都放在 CPU 中, 但可放在内存中的 既然放在内存中, 那么把它取出来送给 CPU 就是 取指 过程 指令本身的执行在 CPU 内部, 执行过程 跟接口没有关系 执行完毕后, 要将结果输出到内存或者端口, 这就是 输出结果 过程 上述过程在第 1 章的冯 诺依曼工作原理图中也展示得很清楚 将上述指令执行的过程概括一下, 可以分为 3 个步骤 :1 取指 过程 ;2 执行 过程 ;3 输出结果 过程 执行过程是在 CPU 内部完成的, 称为执行部件 EU; 取指 和 输出结果 过程则是由总线接口部件 BIU 来完成的 下面具体介绍 8086 CPU 的内部结构 8086 CPU 内部结构如图 2-1 所示 科学出版社职教技术出版中心 图 CPU 内部结构 从功能上可将 8086 分为两个部分, 即总线接口单元 BIU(Bus Interface Unit) 和执行

26 16 汇编语言程序设计教程 单元 EU(Execution Unit) 1. 总线接口单元 BIU 总线接口单元的功能是负责完成与存储器或 I/O 设备之间的数据传送 其具体任务是 : BIU 要从内存取指令送到指令队列缓冲器 ;CPU 执行指令时, 总线接口单元要配合执行单元从指定的内存单元或外设端口中取数据, 将数据传送给执行单元, 或者把执行单元的操作结果传送到指定的内存单元或外设端口中 BIU 内有 4 个 16 位段地址寄存器 CS( 代码段寄存器 ) DS( 数据段寄存器 ) SS( 堆栈段寄存器 ) 和 ES( 附加段寄存器 ),16 位指令指针 IP(Instruction Pointer),6 字节指令队列缓冲器,20 位地址加法器和总线控制电路 下面对总线接口单元作 3 点说明 (1) 指令队列缓冲器 8086 的指令队列为 6 个字节, 而 8088 的指令队列为 4 个字节 不管是 8086 还是 8088, 都会在执行指令的同时, 从内存中取下面一条或几条指令, 取来的指令就依次放在指令队列中 它们采用 先进先出 的原则, 按顺序存放, 并顺序到 EU 中去执行, 且遵循下列原则 1) 取指时, 每当指令队列缓冲器中存满一条指令时,EU 就立即开始执行 2) 指令队列缓冲器中只要空出两个字节 ( 对 8086) 或空出 1 个指令字节时 ( 对 8088), BIU 便自动执行取指操作, 直到填满为止 3) 在 EU 执行指令的过程中, 指令需要对存储器或 I/O 设备存取数据时,BIU 将在执行完现行取指的存储器周期后的下一个存储器周期时, 对指定的内存单元或 I/O 设备进行存取操作, 交换的数据经 BIU 由 EU 进行处理 4) 当 EU 执行完转移 调用和返回指令时, 则要清除指令队列缓冲器, 并要求 BIU 从新的地址重新开始取指令, 新取的第一条指令将直接经指令队列送到 EU 去执行, 随后取来的指令将填入指令队列缓冲器 由于 BIU 和 EU 是分开并独立工作的, 因此, 在一般情况下,CPU 执行完一条指令后就可以执行下一条指令, 而不需要像以往 8 位 CPU 那样重复地进行先取指令 后执行指令的串行操作 16 位 CPU 这种并行重叠操作的特点, 提高了总线的信息传输效率和整个系统的执行速度 如图 2-2 所示为 8086/8088 CPU 程序的执行过程 图 /8088 CPU 程序的执行过程 (2) 地址加法器和段寄存器 8086 有 20 条地址线, 但 CPU 内部寄存器只有 16 位, 那么如何用 16 位寄存器实现 20 位地址的寻址呢? 这里设计师分别用 16 位的段寄存器与 16 位的偏移量巧妙地解决了这一矛盾 即各个段寄存器分别用来存放各段的起始地址 当由 IP 提供或由 EU 按寻址方式

27 第 2 章 微型计算机系统结构 17 计算出寻址单元的 16 位偏移地址 ( 又称为逻辑地 址 ) 后, 将与左移 4 位后的段寄存器的内容同时 送到地址加法器进行相加, 形成一个 20 位的实际 地址 ( 又称为物理地址 ), 以对存储单元寻址 实 际地址的产生过程如图 2-3 所示 例如, 要形成某 指令码的实际地址, 就需将 IP 的值与代码段寄存器 CS(Code Segment) 左移 4 位后的内容相加 假设 CS=EC00H,IP=0800H, 此时指令的物理地址为 EC800H (3)16 位指令指针 IP IP 的功能与 8 位 CPU 类似 正常运行时,IP 中含有 BIU 要取的下一条指令 ( 字节 ) 的偏移地址 IP 在程序运行中能自动加 1 修正, 使之指向要执行的下一条指令 有些指令 能使 IP 值改变或使 IP 值压入堆栈, 或由堆栈弹出恢复原值 2. 执行单元 EU 执行单元不与系统直接相连, 它的功能只是负责执行指令 ; 执行的指令从 BIU 的指令 队列缓冲器中取得, 而且执行指令的结果或执行指令所需要的数据都由 EU 向 BIU 发出请 求, 再由 BIU 对存储器或外设存取 EU 由下列部分组成 1)16 位算术逻辑单元 (ALU): 它可以用于进行算术 逻辑运算, 也可以按指令寻址 方式计算出寻址单元的 16 位偏移量 2)16 位标志寄存器 F: 它用来反映 CPU 运算的状态特征或存放控制标志 3) 数据暂存寄存器 : 它协助 ALU 完成运算, 暂存参加运算的数据 4) 通用寄存器组 : 它包括 4 个 16 位数据寄存器 AX BX CX DX 和 4 个 16 位指 针与变址寄存器 SP BP SI DI 5)EU 控制电路 : 它是控制 定时各种状态逻辑电路, 接收从 BIU 中指令队列取来的 指令, 经过指令译码形成各种定时控制信号, 对 EU 的各个部件实现特定的定时操作 EU 中所有的寄存器和数据通道 ( 除队列总线为 8 位外 ) 都是 16 位的宽度, 可实现数 据的快速传递 寄存器结构 寄存器是 CPU 在运算时一些中间数据的暂存地址, 按照其用途的不同可以分为通用 寄存器 指令指针寄存器 标志寄存器和段寄存器 4 类 8086/8088 的内部寄存器编程结 构如图 2-4 所示 它共有 13 个 16 位寄存器和 1 个只用了 9 位的标志寄存器, 其中有斜线 的部分与 8080/8085 CPU 中相应的部分相同 下面根据寄存器用途的不同对各种寄存器进行详细介绍 图 2-3 实际地址的产生过程 科学出版社职教技术出版中心

28 18 汇编语言程序设计教程 图 /8088 的寄存器结构 1. 通用寄存器 8086/8088 的通用寄存器分为两组 (1) 数据寄存器 EU 中有 4 个 16 位数据寄存器 AX BX CX 和 DX 每个数据寄存器分为高字节 H 和低字节 L, 它们均可作为 8 位数据寄存器独立寻址 独立使用 多数情况下, 这些数据寄存器用在算术运算或逻辑运算指令中, 用来进行算术逻辑运算 而在有些指令中, 它们则有特定的用途 : 如 AX 作累加器 ;BX 作基址寄存器, 在查表指令 XLAT 中存放表的起始地址 ;CX 作计数寄存器, 在数据串操作指令的 REP 中存放数据串元素的个数 ;DX 作数据寄存器, 在字的除法运算指令 DIV 中存放余数 这些寄存器在指令中隐含使用 有关数据寄存器的隐含使用操作如表 2-1 所示 表 2-1 数据寄存器的隐含使用 寄存器名称 操 作 AX 在字乘和字除法指令中作累加器 字节扩展 字 I/O 指令中作数据寄存器 AL 在字节乘和字节除法指令中作累加器 字节 I/O 指令中作数据寄存器 转换 十进制运算 AH 字节乘 字节除 中断调用 在 LAHF 指令中作目的寄存器 BX 在间接寻址中作地址寄存器, 段寄存器为 DS, 在变址寻址和 XLAT 指令中作基址寄存器 CX 在循环和数据串操作指令中作循环次数的计数寄存器, 每做一次循环,CX 内容自动减 1 CL 在移位及循环移位指令中作移位次数及循环移位次数的计数寄存器 在乘法和除法指令中作为辅助累加器 ( 当乘积或被除数为 32 位时存放高 16 位 ); 间接 I/O 寻址时地址 DX 寄存器 ; 在字扩展中存放高 16 位 SP 在堆栈操作中作为堆栈指针 BP 在间接寻址中作基地址寄存器, 段寄存器为 SS SI 在字符串操作指令中作源变址寄存器 ; 在间接寻址中作地址寄存器和变址寄存器 DI 在字符串操作指令中作目的变址寄存器 ; 在间接寻址中作地址寄存器和变址寄存器

29 第 2 章 微型计算机系统结构 19 (2) 指针寄存器和变址寄存器 指针寄存器 SP 和 BP 称为 P 组, 变址寄存器 SI 和 DI 称为 I 组, 它们都是 16 位寄存 器, 一般用来存放地址的偏移量 ( 即相对于段起始地址的距离, 或称偏置 ) 这些偏置在 BIU 的地址加法器中和左移 4 位的段寄存器内容相加产生 20 位的实际地址 ( 物理地址 ) 指针寄存器 SP 和 BP 用来指示存取位于当前堆栈段中的数据所在的地址, 但 SP 和 BP 在使用上有区别 入栈 (PUSH) 和出栈 (POP) 指令是由 SP 给出栈顶的偏移地址, 故称为堆栈指针,BP 则是存放位于堆栈段中一个数据区的基地址, 故称为基址指针寄存器 变址寄存器 SI 和 DI 是存放当前数据段的偏移地址的 源操作数地址的偏移放于 SI 中, 所以 SI 称为源变址寄存器 ; 目的操作数地址的偏移地址放于 DI 中, 故 DI 称为目的变址寄存器 例如在数据串操作指令中, 被处理数据串地址的偏移地址由 SI 给出, 处理后的结果数据串地址的偏移地址则由 DI 给出 2. 指令指针寄存器 指令指针寄存器 IP 用来存放下一条待执行指令在代码段中的偏移地址 它只有与 CS ( 代码段寄存器 ) 相结合才能形成指向指令存放单元的物理地址 3. 标志寄存器 16 位标志寄存器 F 只用了其中的 9 位作标志位, 即 6 个状态标志位,3 个控制标志位 如图 2-5 所示 低 8 位 FL 的 5 个标志位与 8080/8085 的标志相同 图 /8088 的标志寄存器 状态标志位用来反映算术或逻辑运算后结果的状态, 以记录 CPU 的状态特征, 分别为 :CF PF AF ZF SF OF 1)CF(Carry Flag) 进位标志 : 当执行一个加法或减法运算使最高位 ( 即 D 15 位或 D 7 位 ) 产生进位或借位时, 则 CF 为 1, 否则为 0 在进行多字节数的加减运算时, 要使用到该标志位 ; 在比较无符号数大小时, 也用到该标志位 此外, 循环指令也会影响它 2)PF(Prity Flag) 奇偶标志 : 当指令执行结果的低 8 位中含有偶数个 1 时, 则 PF 为 1, 否则为 0 利用 PF 可进行奇偶校验检查, 或产生奇偶效验位, 在串行通信中也用到 PF 位 3)AF(Auxiliary Carry Flag) 辅助进位标志 : 当执行一个加法或减法运算使结果中低字节的低 4 位向高 4 位有进位或借位时, 则 AF 为 1, 否则为 0 4)ZF(Zero Flag) 零标志位 : 若当前的运算结果为 0, 则 ZF 为 1, 否则为 0 5)SF(Sign Flag) 符号标志 : 它和运算结果的最高位 ( 根据 D 15 位或 D 7 位判断 ) 相同 当数据用补码表示时, 负数的最高位为 1, 正数的最高位为 0 6)OF(Overflow Flag) 溢出标志 : 此标志用于反映有符号数加减运算是否引起溢出 如运算结果超过了 8 位或 16 位有符号数的表示范围, 即在字节运算时大于 +127 或小于 -128, 在字运算时大于 或小于 , 称为溢出 当补码运算有溢出时,OF 科学出版社职教技术出版中心

30 20 汇编语言程序设计教程 为 1; 否则为 0 对 OF 的取值可以采用简易的办法来求解 : 即如果操作数是字节运算, 则用 C 6 和 C 7 位的值进行异或运算 ; 如果操作数是字运算, 则用 C 14 和 C 15 位的值进行异或运算 (C i 表示进行加减运算时第 i 位向第 i+1 位的进位或借位 ) 如 C 6 =1,C 7 =0, 则 OF=1; C 15 =1,C 14 =1, 则 OF=0 等 标志寄存器中的 3 个控制标志位分别为 DF IF 和 TF 1)DF(Direction Flag) 方向标志 : 它用来控制数据串操作指令的步进方向 若用 STD 指令将 DF 置 1, 则串操作过程中地址会自动递减 ; 若用 CLD 指令将 DF 清零, 则串操作过程中地址会自动递增 2)IF(Interrupt Enable Flag) 中断允许标志 : 它是控制可屏蔽中断的标志 若用 STI 指令将 IF 置 1, 则表示允许 CPU 接受外部从 INTR 引线上发来的可屏蔽中断请求信号 ; 若用 CLI 指令将 IF 清零, 则禁止 CPU 接受可屏蔽中断请求信号 IF 的状态不影响非屏蔽中断 (NMI) 请求, 也不影响 CPU 响应内部的中断请求 3)TF(Trap Flag) 跟踪 ( 陷阱 ) 标志 : 它是为调试程序方便而设置的 若将 TF 置 1, 则 8086/8088 CPU 处于单步工作状态方式 ; 否则, 将正常执行程序 8086/8088 没有专门设置和清除 TF 标志的指令, 要通过其他方法设置和清除 重点关注 : 当指令执行结果的低 8 位中含有偶数个 1 时,PF 为 1, 否则为 0, 而不是指整个字 CF 和 OF 的定义不要混淆 4. 段寄存器 8086/8088 CPU 具有寻址存储空间 1MB 的能力, 但是 8086/8088 指令中给出的地址码仅有 16 位, 指针寄存器和变址寄存器也只有 16 位, 即用 16 位长度 =2 16 =64K 不能使 CPU 直接寻址 2 20 =1MB 空间 为此,8086/8088 用一组段寄存器将这 1MB 存储空间分成若干个逻辑段, 每个逻辑的长度为 64K 这些逻辑段可被任意设置在整个存储空间上下浮动 8086/8088 CPU 的 BIU 中有 4 个 16 位段寄存器 (CS SS DS ES), 分别称为代码段寄存器 CS 堆栈段寄存器 SS 数据段寄存器 DS 和附加段寄存器 ES, 用来存放各段的起始地址, 它们被称为 段基址 寄存器,8086/8088 的指令直接访问这 4 个段寄存器, 其中代码段寄存器 CS 用来存放程序当前使用的代码段的段基址,CPU 执行的指令将从代码段取得 ; 堆栈段寄存器 SS 用来存放程序当前使用的堆栈段的段基址, 堆栈操作的数据就在这个段中 ; 数据段寄存器 DS 用来存放程序当前使用的数据段的段基址 一般来说, 程序使用的数据放在数据段中 ; 附加段寄存器 ES 用来存放程序当前使用的附加段的段基址, 它通常也用来存放数据, 典型用法是存放处理后的数据 8086/8088 CPU 的指令指示器 IP 和堆栈指示器 SP 都是 16 位, 故只能直接寻址 64K 为了能寻址 1MB 存储空间, 引入了分段的新概念 在 8086/8088 系统中,1MB 存储空间被分为若干逻辑段, 其实际存储器中段的位置如图 2-6 所示 每段最多可包含 64K 长度的连续存储单元 每个段的起始地址又叫基址, 它是一个能被 16 整除的数, 即最后 4 位为 0, 基址是用软件设置的

31 第 2 章 微型计算机系统结构 21 图 2-6 实际存储器中段的位置 段和段之间可以是连续的 分开的 部分重叠或完全重叠的 一个程序所用的具体存 储空间可以为一个逻辑段, 也可以为多个逻辑段 段的基址存放在段寄存器 CS DS SS 和 ES 中 所以, 程序可以从 4 个段寄存器给 出的逻辑段中存取代码和数据 若要对另外的段而不是当前可寻址的段进行存取信息, 程序必须首先改变对应的段寄存器的内容, 将其设置成所要存取的段的基址 有关段寄存器的使用约定如表 2-2 所示 表 2-2 段寄存器的使用约定 存储器存取方式 约定段基址 可修改段基址 偏移量地址 取指令 CS 无 IP 堆栈操作 SS 无 SP 源串 DS CS ES SS SI 目的串 ES 无 DI 数据读写 DS CS ES SS 有效地址 BP 做基址 SS CS ES DS 有效地址 8086 与 8088 是处在 CPU 从 8 位到 16 位过渡阶段的两个不同产品, 它们的内部结构和性能基本上是相同的 主要区别在于 :8086 是真正的标准 16 位 CPU, 它有 16 条数据线和 20 条地址线 ;8088 是在 8 位微处理器 8080 和 8085 基础上发展起来的一种准 16 位微处理器, 它的内部寄存器 运算单元和内部操作都是 16 位的, 可处理 16 位数据, 也能处理 8 位数据, 但它的数据总线只有 8 条, 它同样也有 20 条地址线 8086 CPU 的内部指令队列缓冲器为 6 字节长, 而 8088 CPU 的内部指令队列缓冲器为 4 字节长 /8088 的引脚介绍 科学出版社职教技术出版中心 CPU 引脚是 CPU 与外部进行通信的唯一接口, 它的所有功能都是通过这些引脚来引用的 既然这些引脚是和外部器件进行通信的, 那么只要分析一下它们是和哪些器件进行通信的, 则这些引脚的分类也就清晰了 首先, 需要和内存进行数据交换, 这种交换是通过总线来实现的, 而不是直接连接, 所以 CPU 引脚需要连接总线 而所需要连接的总线

32 22 汇编语言程序设计教程 又包括地址总线 数据总线 控制总线 每一个引脚只能连接总线中的一根线, 所以这些引脚实质上会和总线的位数相对应 注意 : 考虑到节约引脚的数量, 在 8086 的引脚设计中并不是一一对应的, 而是通过分时复用的方法, 使得引脚的数量减少了一半 其中一部分是地址 / 数据总线分时复用, 另一部分是地址 / 状态总线分时复用 地址总线怎么被两次复用了呢? 这是因为 8086 地址总线有 20 位, 数据总线只有 16 位, 还空出 4 位可以和 4 个状态线进行公用 其次就是和一些控制信号线进行数据交换 : 一方面 CPU 的控制指令通过这些引脚发送出去 ; 另一方面外部的一些状态要通过这些引脚反馈回来 /8088 系统总线时序由于 CPU 的引脚具有分时复用功能, 在介绍 CPU 各引脚功能之前首先要了解系统总线的时序结构 微处理器是在统一的时钟信号 CLK 控制下, 按节拍进行工作的 8086/8088 的时钟频率为 5MHz, 故时钟周期为 200ns,CPU 每执行一条指令, 至少要通过总线对存储器访问一次 ( 取指令 ) 8086/8088 CPU 通过总线对外部 ( 存储器或 I/O 接口 ) 进行一次访问所需的时间称为一个总线周期 一个总线周期至少包含 4 个时钟周期即 T 1 T 2 T 3 T 4, 处在这些基本时钟周期中的总线状态称为 T 状态 8086/8088 CPU 采用分时复用的地址 / 数据总线 在一个总线周期内, 首先利用总线传送地址, 然后再利用同一总线传送数据 具体来说, 在 T 1 状态,BIU 把要访问的存储单元或 I/O 端口的地址输出到总线上 若为读周期, 在 T 2 中使总线处于浮动的 ( 高阻 ) 缓冲状态, 以使 CPU 有足够的时间从输出地址方式转变为输入 ( 读 ) 数据方式, 然后在 T 4 状态的开始,CPU 从总线上读入数据 若为写周期, 由于输出地址和输出数据都是写总线过程, CPU 不必转变读写工作方式, 因而不需要缓冲区,CPU 在 T 2 ~T 4 中把数据输出到总线上, 考虑到 CPU 和慢速的存储器或 I/O 接口之间传送的实际情况,8086/8088 具有在总线周期的 T 3 和 T 4 之间插入若干个附加时钟周期的功能 这种附加周期称为等待周期 T W 需要特别指出, 仅当 BIU 需要填补指令队列的空缺, 或者当 EU 在执行指令过程中需要申请一个总线周期时,BIU 才会进入执行总线周期的工作状态 在两个总线周期之间, 可能出现一些没有 BIU 活动的时钟周期 T 1, 处于这种时钟周期中的总线状态被称为空闲状态, 或者简称 T 1 状态 如图 2-7 所示为典型的总线周期序列 /8088 CPU 的引脚信号 图 2-7 典型的总线周期序列 8086 和 8088 的引脚信号如图 2-8 所示 它们的 40 条引线按功能可分为以下 5 类

33 第 2 章 微型计算机系统结构 23 (a)8086 的引脚信号 (b)8088 的引脚信号 图 /8088 的引脚信号 (1) 地址 / 数据总线 AD 15 ~AD 0 这是分时复用的存储器或端口地址和数据总线 传送地址时三态输出, 传输数据时可 双向三态输入 / 输出 正是利用分时复用的方法才能使 8086/8088 用 40 条引脚实现 20 位地 址 16 位数据及众多的控制信号和状态信号的传输 但是在 8088 中, 由于只能传输 8 位 数据, 所以只有 AD 7 ~AD 0 8 条地址 / 数据线,A 15 ~A 8 只用来输出地址 作为复用引脚, 在总线周期的 T 1 状态用来输出要寻址的存储器或 I/O 端口地址 ; 在 T 2 状态浮置成高阻状态, 为传输数据做准备 ; 在 T 3 状态, 用于传输数据 ;T 4 状态结束总线 周期 当 CPU 响应中断以及系统总线 保持响应 时, 复用线都被置为高阻状态 (2) 地址 / 状态总线 A 19 /S 6 ~A 16 /S 3 地址 / 状态总线为输出 三态总线, 采用分时输出, 即 T 1 状态输出地址的最高 4 位, T 2 ~T 4 状态输出状态信息 当访问存储器时,T 1 状态时输出的 A 19 ~A 16 送到锁存器 (8282) 锁存, 与 AD 15 ~AD 0 组成 20 位的地址信号 ; 而访问 I/O 端口时, 不使用这 4 条引线,A 19 ~ A 16 =0 状态信息中的 S 6 为 0 用来指示 8086/8088 当前与总线相连, 所以, 在 T 2 ~T 4 状态, S 6 总等于 0, 以表示 8086/8088 当前连在总线上 S 5 表示中断允许标志位 IF 的当前设置 S 4 和 S 3 用来指示当前正在使用哪个段寄存器, 如表 2-3 所示 表 2-3 S 4 S 3 的代码组合和对应的状态 科学出版社职教技术出版中心 S 4 S 3 状 态 0 0 当前正在使用 ES 0 1 当前正在使用 SS 1 0 当前正在使用 CS, 或未使用任何段寄存器 1 1 当前正在使用 DS

34 24 汇编语言程序设计教程 当系统总线处于 保持响应 状态时, 这些引线被浮置为高阻状态 (3) 控制总线 1)BHE /S 7 :BHE 高 8 位数据总线允许 / 状态复用引脚, 三态 输出 BHE 在总线周期的 T 1 状态时输出,S 7 在 T 2 ~T 4 时输出 在 8086 中, 当 BHE /S 7 引脚上输出 BHE 信号时, 表示总线高 8 位 AD 15 ~AD 8 上的数据有效 在 8088 中, 第 34 引脚不是 BHE /S 7, 而是被赋予另外的信号 : 在最小工作模式时, 它为 SS 0, 和 DT/ R M/IO 一起决定了 8088 当前总线周期的读 / 写动作 ; 在最大工作模式时, 它恒为高电平 S 7 在当前的 8086 芯片设计中未被定义, 暂作备用状态信号线 2)RD : 读控制信号, 三态 输出 当 RD =0 时, 表示将要执行一个对存储器或 I/O 端口的读操作 到底是对内存单元还是对 I/O 端口读取数据, 取决于 M/IO(8086) 或 M/IO (8088) 信号 在一个读操作的总线周期中,RD 信号在 T 2 T 3 和 T W 状态均为低电平 在系统总线进入 保持响应 期间, RD 被浮空 3)READY: 准备好 信号线, 输入 它实际上是由所寻址的存储器或 I/O 端口发来的响应信号, 高电平有效 当 READY=1 时, 表示所寻址的内存或 I/O 设备已准备就绪, 马上就可以进行一次数据传输 CPU 在每个总线周期的 T 3 状态开始对 READY 信号采样 如果检测到 READY 为低电平, 表示存储器或 I/O 设备尚未准备就绪, 则 CPU 在 T 3 状态之后自动插入一个或几个等待状态 T W 直到 READY 变为高电平, 才进入 T 4 状态, 完成数据传送过程, 从而结束当前总线周期 4)TEST : 等待测试信号, 输入 它用于多处理器系统中且只有在执行 WAIT 指令时才使用 当 CPU 执行 WAIT 指令时, 每隔 5 个时钟周期对该线的输入进行一次测试 ; 若 TEST =1 时,CPU 将停止取下条指令而进入等待状态, 重复执行 WAIT 指令, 直至 TEST =0 时, 等待状态结束,CPU 才继续往下执行被暂停的指令 等待期间允许外部中断 5)INTR: 可屏蔽中断请求信号, 输入, 高电平有效 当 INTR=1 时, 表示外设提出了中断请求,8086/8088 在每个指令周期的最后一个 T 状态采样此信号 若 IF=1, 则 CPU 响应中断, 停止执行指令序列, 并转去执行中断服务程序 6)NMI: 非屏蔽中断请求信号, 输入, 上升沿触发 此请求不受 IF 状态的影响, 也不能用软件屏蔽, 只要此信号一出现, 就在现行指令结束后引起中断 7)RESET: 复位信号, 输入, 高电平有效 通常与 8284A 复位输出端相连,8086/8088 要求复位脉冲宽度不得小于 4 个时钟周期, 接通电源时不能小于 50 μ s ; 复位后, 内部寄存器状态如表 2-4 所示 程序执行时,RESET 线保持低电平 表 2-4 复位后内部寄存器的状态 标志寄存器 IP CS DS SS ES 指令队列缓冲器 内部寄存器状态清除 0000H FFFFH 0000H 0000H 0000H 清除

35 第 2 章 微型计算机系统结构 25 8)CLK: 系统时钟, 输入 通常与 8284A 时钟发生器的时钟输出端 CLK 相连, 该时钟信号的低 / 高之比常采用 2 1( 占空度 1/3) (4) 电源线 V CC 和地线 GND V CC : 电源, 输入, 第 40 脚 8086/8088 CPU 采用单一的 +5V 电压 GND: 接地引脚, 第 1 20 脚 向 CPU 提供参考地电平, 有两个接地引脚 (5) 其他控制线 (24~31 引脚 ) 由于 8086/8088 CPU 可以工作在不同的工作模式,24~31 引脚在不同的工作模式下功能各不相同, 这将在下面章节分别进行介绍 2.2 工作模式 为了尽可能适应各种各样的使用场合, 在设计 8086/8088 CPU 芯片时, 将使它们可以在两种模式下工作, 即最小模式和最大模式 所谓最小模式就是系统中只有一个 8086/8088 微处理器 在这种情况下, 所有总线控制信号都是直接由 8086/8088 CPU 产生的, 系统中的总线控制逻辑电路被减到最少, 该模式适用于规模较小的微机应用系统 最大模式是相对于最小模式而言的, 最大模式用于中 大规模的微机应用系统中 在最大模式下, 系统中至少包含两个微处理器, 其中一个为主处理器, 即 8086/8088 CPU, 其他的微处理器称为协处理器, 它们是协助主处理器工作的 MN/ MX 是最小 / 最大模式设置信号, 输入, 第 33 脚 该输入引脚电平的高 低决定 了 CPU 工作在最小模式还是最大模式 当 MN/ MX =1 时,8086/8088 工作在最小工作模式 (MN) 在此方式下, 全部控制信号由 CPU 本身提供 当 MN/ MX =0 时,8086/8088 工作在最大工作模式下 这时系统的控制信号由 8288 总线控制器提供, 而不是由 8086/8088 直接提供 最小工作模式和系统总线周期时序 当 MN/ MX =1 时, 接电源电压, 系统工作于最小工作模式, 即单处理器系统方式, 它适合较小规模的应用 8086 最小工作模式系统的系统总线结构如图 2-9 所示 在最小工作模式下, 第 24~31 脚的信号含义如下所述 1. INTA (Interrupt Acknowledge) 中断响应信号输出 科学出版社职教技术出版中心 它用于对外设的中断请求作出响应 当外部中断源通过 INTR 引脚向 CPU 发出中断请求信号后, 如果标志寄存器的中断允许标志位 IF=1( 即 CPU 处于开中断 ) 时,CPU 才会响应外部中断 CPU 在当前指令执行完后, 响应中断 中断响应周期时序如图 2-10 所示 8086/8088 的 INTA 信号实际上是两个连续的负脉冲, 每个脉冲从 T 2 持续到 T 4 状态 其第 1 个负脉冲是通知外设接口, 它发出的中断请求已获允许 ; 外设接口收到第 2 个负脉冲后, 接收中断响应的接口把中断类型号放到 AD 0 ~AD 7 总线上, 而在这两个总线周期的

36 26 汇编语言程序设计教程 其余时间里,AD 0 ~AD 7 处于浮空 CPU 读入中断类型码后, 可以在中断矢量表中找到该外设的服务程序入口地址, 从而转入中断服务 图 最小工作模式系统的系统总线结构 图 2-10 中断响应周期时序 2.ALE(Address Latch Enable) 地址锁存信号输出它是 8086/8088 提供给地址锁存器 8282/8283(74LS373,74LS374) 的控制信号, 高电平有效 在任何一个总线周期的 T 1 状态,ALE 输出有效电平, 以表示当前在地址 / 数据复用总线上输出的是地址信息, 地址锁存器将 ALE 作为锁存信号, 对地址进行锁存 要

37 第 2 章 微型计算机系统结构 27 注意的是 ALE 端不能浮空 3. DEN (Data Enable) 数据允许信号 当用 8286/8287(74LS244,74LS245) 作为数据总线收发器时,DEN 为收发器提供一 个控制信号, 表示 CPU 当前准备发送或接收一个数据 总线收发器将 DEN 作为输出允许 信号, 即在每个存储器的访问周期以及中断响应周期均为低电平 ; 在 DMA 方式时, 被浮 置为高阻状态 4.DT/ R (Data Transmit /Receive) 数据收发输出 在使用 8286/8287 作为数据总线收发器时,DT/ R 信号用来控制 8286/8287 的数据传送 方向 当 DT/ R 为高电平时, 则进行数据发送 ; 当 DT/ R 为低电平时, 则进行数据接收 在 DMA 方式时, 它被浮置为高阻状态 5.M/ IO (Memory /Input and Output) 存储器 / 输入 输出控制信号输出 它是作为区分 CPU 进行存储器访问还是输入 / 输出访问的控制信号 如为高电平, 则 表示 CPU 和存储器之间进行数据传输 ; 如为低电平, 则表示 CPU 和输入 / 输出设备之间进 行数据传输 一般在前一总线周期的 T 4 状态,M/ IO 就成为有效电平, 然后开始一个新的 总线周期, 且一直保持有效电平, 直到本周期的 T 4 状态为止 在 DMA 方式时,M/ IO 被 浮置为高阻状态 6. WR (Write) 写信号输出 WR 有效时, 表示 CPU 当前正在进行存储器或 I/O 写操作, 到底为哪种写操作, 则 由 M/ IO 信号决定 对任何写周期,WR 在 T 2 T 3 T W 期间有效 在 DMA 方式时, 被浮 置为高阻状态 7.HOLD(Hold Request) 总线保持请求信号输入 它作为其他部件向 CPU 发出总线请求信号的输入端 当系统中 CPU 之外的另一个主 模块要求占用总线时, 通过它向 CPU 发一个高电平的请求信号 这时, 如果 CPU 允许让出总线, 就在当前总线周期完成时, 于 T 4 状态从 HLDA 脚发出一个回答信号, 对刚才的 HOLD 请求作出响应 同时,CPU 使地址 / 数据总线和控制总线处于浮空状态 总线请求部件收到 HLDA 信号后, 就获得了总线控制权, 在此后一段时间,HOLD 和 HLDA 都保 持高电平 在总线占有部件用完总线之后, 会把 HOLD 信号变为低电平, 表示现已放弃对总线的占有 8086/8088 收到低电平的 HOLD 信号后, 也将 HLDA 变为低电平, 于是 CPU 又重新获得对总线的占有权 8.HLDA(Hold Acknowledge) 总线保持响应信号输出 当 HLDA 为有效电平时, 表示 CPU 对其他主模块的总线请求作出响应, 与此同时, 所有与三态门相接的 CPU 的引脚呈现高阻抗, 从而让出了总线 如图 2-11 所示为 8086 最小工作模式时读和写总线周期时序图 科学出版社职教技术出版中心

38 28 汇编语言程序设计教程 (a) 读总线周期时序图 (b) 写总线周期时序图 图 最小工作模式时读和写总线周期时序图 当 CPU 准备开始一个总线周期时, 在 T 1 状态开始使 ALE 信号变为有效高电平, 并输出 M/ IO 信号来确定是访问存储器还是访问 I/O 端口 若访问存储器则 M/ IO 为高电平, 若访问 I/O 端口则 M/ IO 为低电平 与此同时, 把欲访问的存储单元或 I/O 端口的 20 位地址从 A 19 /S 6 ~A 16 /S 3 AD 15 ~AD 0 输出 ( 若访问 I/O 端口,A 19 /S 6 ~A 16 /S 3 输出为低电平 ), BHE 的状态由 BHE /S 7 输出 在 T 1 状态后部,ALE 信号变为低电平, 利用 ALE 后沿将 20 位地址和 BHE 状态锁存在 8282 锁存器中 在 T 2 状态中,A 19 /S 6 ~A 16 /S 3 线上由地址信息变成状态信息 S 6 ~S 3,BHE /S 7 线上由 BHE 变成状态信息 S 7 (S 7 不固定 ) 同时,AD 15 ~ AD 0 线上地址信息消失 如果是读总线周期,AD 15 ~AD 0 处于浮动 ( 高阻 ) 状态, 使 CPU 有足够时间能从在 AD 15 ~AD 0 上输出地址方式转变为输入数据方式 ; 如果是写总线周期, 则 CPU 不需要进行这种方式转变 对于读总线周期, 还必须给出读信号, 因此,RD 信号在 T 2 状态变成有效低电平 ( 此时 WR 信号为无效 ), 以控制数据传送的方向 若在系统中

39 第 2 章 微型计算机系统结构 29 应用了收发器 8286, 则要利用控制信号 DT/ R 和 DEN 由于是读, 故 DT/ R 应为低电平, DEN 信号也在 T 2 状态有效,8286 处于反向传送 如果存储器或 I/O 接口可以立即完成数据准备而不需要等待状态, 则 T 3 状态期间将数据放到系统数据总线上 CPU 在 T 3 状态结 束时从 AD 15 ~AD 0 上读取数据后, 在 T 4 状态前期使 RD 变为无效 存储器或 I/O 接口检测到这个跳变后, 便认为这次传送结束, 撤去数据 对于写总线周期, 则必须给出写信号, 因此,WR 信号在 T 2 状态变成有效低电平, 并在撤销地址后, 立即把数据送上 AD 15 ~AD 0 由于是写操作,DT/ R 应为高电平, DEN 为低电平,8286 处于正向传送 如果存储器或 I/O 接口可以完成数据写入而不需要等待状态,CPU 在 T 4 状态前期使 WR 变为无效并撤销输出的数据信号 不管是读总线周期, 还是写总线周期, DEN 在 T 4 状态都变为无效, 从而关闭收发器 8286 在读总线周期或写总线周期中, 若所使用的存储器或外设的工作速度较慢, 不能满足上述基本时序的要求, 则可利用 READY 信号产生电路产生 READY 信号并经 8284 同步后加到 CPU 的 READY 线上, 使 CPU 在 T 3 和 T 4 之间插入一个或几个 T W 状态, 来解决 CPU 与存储器或外设之间的时间配合 8086 在 T 3 状态的开始测试 READY 线, 若发现 READY 信号为有效高电平,T 3 状态之后即进入 T 4 状态 ; 若发现 READY 信号为低电平, 则在 T 3 状态结束后不进入 T 4 状态, 而插入一个 T W 状态 以后在每一个 T W 状态的开始都测试 READY 线, 只有发现它为有效高电平时, 才在这个 T W 状态结束进入 T 4 状态 在 8088 最小工作模式系统读写总线周期中,M/ IO 为 IO/ M,BHE /S 7 是 SS 0 且与 IO/ M 同时变化,AD 15 ~AD 8 为 A 15 ~A 8, 仅用于输出地址, 只有 AD 7 ~AD 0 传送数据 其他同 最大工作模式 8086/8088 也都可以按最大工作模式来配置系统 当 MN/ MX 线接地时, 系统就工作于最大工作模式了 这里先简要说明什么是最大工作模式, 以及它和最小工作模式有何区别 在上面讨论的 8086/8088 最小模式系统中,8086/8088 CPU 的引脚直接提供所有必须的总线控制信号, 这种方式适合于单处理器组成的小系统 在最小工作模式中, 作为单处理器的 8086/8088 科学出版社职教技术出版中心 CPU 通常控制着系统总线, 但也允许系统中的其他主控设备 DMA 控制器占用系统总线 DMA 控制器通过占用系统总线可实现外部设备和存储器之间直接数据传送 DMA 控制器通过向 8086/8088 的 HOLD 引脚发送一个高电平信号向 CPU 提出占用系统总线的请 求信号, 通常在现行总线周期完成后,8086/8088 CPU 作出响应, 使 HLDA 引脚变成高电平, 通知 DMA 控制器可以使用系统总线 DMA 控制器接收到 HLDA 引脚的高电平信号 后, 掌握系统控制权, 进行外部设备与存储器之间的直接数据传送 当 DMA 控制器完成传送任务时, 撤销发向 HOLD 引脚的总线请求信号,CPU 重新获得对系统的控制权 需着重指出的是,DMA 控制器虽然通过挪用总线周期实现外部设备与存储器之间的直接数据传送, 提高了整个系统的能力, 但 DMA 控制器却不能执行命令, 其能力是相当有限的 假如系统中有两个或多个同时执行指令的处理器, 这样的系统就称为多处理器系统 增加的处理器可以是 8086/8088 处理器, 也可以是数字数据处理器 8087 或 I/O 处理器 8089 在设计多处理器系统时, 除了解决对存储器和 I/O 设备的控制 中断管理 DMA 传送时总

40 30 汇编语言程序设计教程 线控制权外, 还必须解决多处理器对系统总线的争用问题和处理器之间的通信问题 因为多个处理器通过公共系统总线共享存储器和 I/O 设备, 所以必须增加相应的逻辑电路, 以确保每次只有一个处理器占用系统总线 为了使一个处理器能够把任务分配给另一个处理器或者从另一个取回执行结果, 就必须提供一种明确的方法来解决两个处理器之间的通信 多处理器系统可以有效地提高整个系统的性能 8086/8088 的最大工作模式就是专门为实现多处理器系统而设计的 IBM PC 系列机系统中的微处理器工作于最大工作模式, 系统中配置了一个作为协处理的数字数据处理器 8087, 以提高系统数据处理的能力 为了满足多处理器系统的需求, 又不增加引脚个数, 在最大模式下的 8086/8088 采用了对控制引脚译码的方法以产生更多控制信号 CPU 有 8 个控制引脚且各自有独立的意义, 经过分组译码后产生具体控制信号 CPU 的 8 个控制引脚 24~31 的功能定义如下 1.QS 1,QS 0 ( 输出 ) 指令队列状态输出线 它们用来提供 8086/8088 内部指令队列的状态 8086/8088 内部在执行当前指令的同时, 从存储器预先取出后面的指令, 并将其放在指令队列中,QS 1 和 QS 0 便提供指令队列的状态信息, 以便提供外部逻辑跟踪 8086/8088 内部指令序列 QS 1 和 QS 0 表示的状态情况如表 2-5 所示 表 2-5 指令队列状态位的编码 QS 1 QS 0 指令队列状态 0 0 无操作, 队列中指令未被取出 0 1 从队列中取出当前指令的第一个字节 1 0 队列空 1 1 从队列中取出指令的后续字节 外部逻辑通过监视总线状态和队列状态, 可以模拟 CPU 的指令执行过程并确定当前正在执行哪一条指令 有了这种功能,8086/8088 才能告诉协处理器何时准备执行指令 在 PC 中, 这两条线与 8087 协处理器的 QS 1 和 QS 0 相连 2. S 2, S 1, S 0 ( 输出, 三态 ) 状态信号输出线, 这 3 位状态的组合表示 CPU 当前总线周期的操作类型 8288 总线控制器接收这 3 位状态信息, 产生访问存储器和 I/O 端口的控制信号及对 的控制信号 如表 2-6 所示为这 3 位状态信号的编码和由 8288 产生的对应信号 在 PC 中, 这 3 条线还分别与 8087 协处理器的 S2, S1, S0引脚相连 表 2-6 S2, S1, S0组合规定的状态 S 2 S 1 S 0 操作状态 8288 产生的信号 中断响应读 INTA 读 I/O 端口 IORC 写 I/O 端口 IOWC, AIOWC 暂停无 取指令 MRDC 读存储器 MRDC 写存储器 MWTC, AMWC 保留无

41 第 2 章 微型计算机系统结构 LOCK ( 输出, 三态 ) 总线锁定信号, 低电平有效 CPU 输出此信号表示不允许总线上的主控设备占用总线 该信号由系统指令前缀 LOCK 使其有效, 并维持到下一条指令执行完毕为止 此外, CPU INTR 引脚上的中断请求也会使 LOCK 引脚从第一个 INTA 脉冲开始直至第二个 INTA 脉冲结束保持低电平 这样就保证在中断响应周期之后, 其他主控设备才能占用总线 4. RQ / GT 1, RQ / GT 0 ( 输入 / 输出 ) 这两条引脚都是双向的, 低电平有效, 用于输入总线请求信号和输出总线授权信号, 但 RQ / GT 0 优先级高于 RQ / GT 1 这两根引脚主要用于不同处理器之间连接控制用 在 IBM PC 系列机系统中, 把 CPU 的 RQ / GT 1 引脚接至 8087 协处理器的 RQ / GT 0 端, 这样 8087 就可根据其指令的执行情况, 用这条线向 8088 发出总线请求信号, 以便能够控制总线, 当 8088 通过这条线向 8087 发出总线授权信号后,8087 就获得了对总线的控制权 当 8087 用完总线后, 又通过此线向 8088 发出释放总线控制权的控制信号,8088 在下一个时 钟周期开始, 便重新获得对总线的控制权 在 IMB PC 系列机系统中, 把 CPU 的 RQ / GT0 引脚接至 +5V, 即使其处于无效状态 如图 2-12 所示为一种典型的 8086 最大工作模式系统的系统总线结构 科学出版社职教技术出版中心 图 最大工作模式系统的系统总线结构

42 32 汇编语言程序设计教程 最大工作模式和最小工作模式系统之间的主要区别是增加了一个控制信号转换电路 Intel 8288 总线控制器 8288 根据 S2, S1和 S0 状态组合产生相应的存储器或 I/O 读写命令和总线控制命令信号, 用于控制数据传送以及控制 8282 锁存器和 8286 收发器 8288 和 8286 的原理略 2.3 工作过程 8086/8088 的工作流程可用图 2-13 加以说明 在让计算机执行程序之前, 必须把程序和数据预先存放在存储器的某个区域, 且程序中的指令是一条条按顺序存放的 为了让计算机找到这些指令并把它们取出来加以执行, 就必须有一个电路能追踪指令所在的地址, 这就是指令指针 IP 开始执行时,IP 被赋予第一条指令所在的地址, 每取出一条指令,IP 内容自动加 1, 指向下一条指令的地址 当遇到跳转指令 调用子程序指令或中断程序时,IP 才转向别处 对于取指令, 地址寄存器将提供的指令地址通过地址总线送到存储器 ( 在 IP 的内容可靠地送入地址寄存器后,IP 内容自动加 1), 存储器中的地址译码器对该地址进行译码, 找到相应的单元并配合 CPU 的控制命令, 将该单元中的内容通过总线送到数据寄存器 接着, 取出的指令会被送到指令寄存器 IR, 再经过指令译码器 ID 译码, 成为 CPU 能识别的数据 CPU 对指令进行判断, 如果只是一条普通的执行指令而不是一条分支指令, 那么 CPU 通过控制电路发出执行这条指令所需要的各种控制信息, 完成执行操作 如果这是一条分支指令但不需要条件判断, 那么 CPU 直接对 IP 重新赋值, 将它定向到相应的程序段 如果这是一条条件分支指令, 那么 CPU 会检查标志寄存器相应的位以判断条件是否满足, 若满足则同样将 IP 重新定位 ; 如果不满足, 那么 CPU 不做任图 /8088 工作流程何操作, 继续原程序的执行, 重复上述过程 2.4 一个完整的源程序 前面讲述了计算机系统的基本结构和工作原理, 计算机是通过执行各种操作命令来完成各种任务的, 下面通过一个实例来说明汇编语言源程序的组织

43 第 2 章 微型计算机系统结构 33 ; 程序名 :T2-1.ASM ; 功能 : 显示信息 HELLO SSEG SEGMENT PARA STACK DW 256 DUP(?) SSEG ENDS DSEG SEGMENT MESS DB 'HELLO',0DH,0AH,'$' DSEG ENDS CSEG SEGMENT ASSUME CS:CSEG,DS:DSEG START:MOV AX,DSEG MOV DS,AX MOV DX,OFFSET MESS MOV AH,09H INT 21H MOV AH,4CH INT 21H CSEG ENDS END START ; 堆栈段 ; 数据段 ; 代码段 ; 装填数据段寄存器地址 ; 装填显示信息首地址 ; 显示信息 HELLO 的中断功能调用 ; 返回 DOS 经过编译和连接后, 生成一个 T2-1.EXE 可执行文件, 在 DOS 环境下运行该文件将在计算机屏幕上显示 HELLO 2.5 习题与综合练习 处理器内部一般包含哪些主要部分? 2. 什么是总线? 一般微计算机中有哪些总线? 3. 什么是堆栈? 它有什么用途? 堆栈指针的作用是什么? 举例说明堆栈的操作 4. 在 8086 CPU 中,FR 寄存器有哪些标志位, 分别说明各位的功能 5. 在 8086 CPU 中, 有哪些通用寄存器和专用寄存器? 试说明专用寄存器的作用 6. 若 CS=8000H, 试说明现行代码段可寻址的存储空间的范围 7. 设现行数据段位于存储器的 B0000~BFFFFH,DS 段寄存器的内容应是什么? 8. 设双字 H 的起始地址是 B0001H, 试说明这个双字在存储器中是如何存放的? 9. 说明 8086 CPU 的 EU 和 BIU 的主要功能 10. 说明 8086 CPU 组成的系统为什么必须使用地址锁存器 /8088 CPU 的最大寻址范围是多少, 是怎样实现对整个地址空间寻址的? 12. 在 8086/8088 CPU 中, 物理地址和逻辑地址是什么? 它们之间有什么联系? 有效地址 EA 是怎样产生的? 13. 如何选择 8086/8088 CPU 工作在最小工作模式或最大工作模式? 在最小工作模式下构成计算机系统的最小配置应有哪几个基本部分组成? 说明两种工作模式下主要信号 科学出版社职教技术出版中心

44 34 汇编语言程序设计教程 的区别 14. 在多处理器系统中,8086 如何协调微处理器对总线的占有权 15. 说明空闲状态与等待状态的差别, 说明什么是指令周期 机器周期和时钟周期 16. 在 8086 中, 地址 / 数据复用信号是如何区分的? 的总线周期一般只包括 T 1 T 2 T 3 T 4 共 4 个时钟周期 只要被访问部件 ( 存储器或 I/O 接口 ) 的存取速度足够快, 就可以使 CPU 的 READY 输入恒为高电平, 不插入 T W, 而使系统高速工作 若某一总线部件的存取速度较慢, 则可通过控制 READY 线, 使相应总线周期适当延长 ( 即插入若干 T W 周期 ), 称作半同步总线联络协议 试设计一个需要插入 3 个 T W 的 READY 逻辑电路, 并说明其工作原理

45 第 3 章 80X86 指令系统 本章学习目标 理解微型计算机 8086/8088 CPU 指令系统的功能 作用以及指令的向上兼容性 充分理解微型计算机 8086/8088 CPU 的各种操作数类型 作用和适用的范围 熟练掌握 8086/8088 CPU 各种操作数的寻址方式定义及形成的方法, 相互之间的转换关系及约束条件 熟练掌握 8086/8088 CPU 按功能划分的 9 类指令系统, 各类指令的格式 功能及对状态标志位的影响 掌握 8086/8088 CPU 不同指令间的功能替换和指令的灵活运用 理解 和 CPU 相对于 8086/8088 CPU 的新增指令及用法条件 科学出版社职教技术出版中心

46 36 汇编语言程序设计教程 3.1 寻址方式 所谓寻址方式就是寻找指令中操作数所在地址的方法 8086/8088 CPU 可采用许多不同的方法来存取指令操作数, 操作数既可存放在寄存器 存储器或 I/O 端口中, 也可采用立即数的形式存放在指令代码中 因此,8086/8088 CPU 的寻址方式是多种多样的 为了说明上的方便, 先来掌握操作数的类型, 然后再讨论寻址方式 操作数类型 计算机指令是由操作码和操作数所组成的 操作码给出指令的功能, 操作数则是指令的处理对象 操作数类型一般分为 3 种 : 立即数 寄存器操作数和存储器操作数 1. 立即数立即数作为指令代码的一部分出现在指令中, 通常作为源操作数使用 在汇编指令中, 可以用二进制 十六进制或十进制等数制形式表示, 也可以用一个可求出确定值的表达式形式来表示 2. 寄存器操作数寄存器操作数是把操作数存放在寄存器中, 即用寄存器存放源操作数或目的操作数 通常在汇编指令中给出寄存器的名称 在双操作数指令中, 可以作源操作数, 也可以作目的操作数 有的指令虽然没有明确给出寄存器名, 但它隐含着某个通用寄存器作操作数 具体在哪些指令中隐含使用, 在指令系统中将会进行说明 3. 存储器操作数存储器操作数是把操作数放在存储器中, 因此在汇编指令中应给出存储器的地址 应该说明的是, 存储器操作数所在的存储器地址应该是物理地址, 即由段地址和段内有效地址 ( 把相对于段首地址的偏移量称为有效地址 EA) 决定 但在汇编指令中, 通常只给出有效地址 EA( 它们是以各种寻址方式给出的 ), 而段地址 ( 在段寄存器中 ) 是通过隐含方式使用的, 其隐含规则已在本书第 2 章的表 2-2 中作了说明 寻址方式 有了对操作数类型的了解, 就可以较容易地理解 8086/8088 CPU 的寻址方式了 8086/8088 CPU 有 8 种基本的寻址方式 : 立即数寻址 直接寻址 寄存器寻址 寄存器间接寻址 寄存器相对寻址 基址加变址寻址 相对基址加变址寻址和输入 / 输出寻址 直接寻址 寄存器间接寻址 寄存器相对寻址 基址加变址寻址和相对基址加变址寻址的寻址方式属于存储器寻址, 用于说明操作数所在存储单元的地址 由于总线接口单元

47 第 3 章 80X86 指令系统 37 BIU 能根据需要自动引用段寄存器得到段值, 所以这 5 种方式也就是确定存放操作数的存储单元有效地址 EA 的方法 有效地址 EA 是一个 16 位的无符号数, 在利用这 5 种方法计算有效地址时, 所得的结果认为是一个无符号数 除了这些基本的寻址方式外, 还有固定寻址和 I/O 端口寻址等 下面针对每种寻址方式展开叙述 1. 立即数寻址立即数寻址的操作数是一个立即数, 它直接包含在指令中 立即数可以是 8 位数或 16 位数, 它们放在指令代码的操作码后 ( 若为 16 位数, 则低字节数在前, 高字节数在后 ) 其寻址示意如图 3-l 所示 例如 : MOV AX,IM ;IM 是立即数 立即数只能作为源操作数出现在指令中 立即数寻址主要用于给存储器或寄存器赋初值 它可能是一个运算数也可能是一个地址位 它们都可以用一个符号名来表示 2. 直接寻址 直接寻址是指操作数的地址 ( 即 16 位偏移量 ) 直接包含在指令中, 它也放在指令操作码后 ( 低字节在前, 高字节在后 ) 直接寻址给出的是操作数偏移量地址, 实际操作数地址应由段寄存器和这个直接地址相加来决定 例如 : MOV AX,[52C0H] 这是一个直接寻址指令, 本指令将数据段 DS 内容作为段基址, 偏移量作为 52C0H 单元的内容送到 AX 寄存器, 其寻址示意如图 3-2 所示 其中, 假设 DS=4000H 此种寻址是以数据段 DS 为基址的, 故寻址范围为 64KB 科学出版社职教技术出版中心 图 3-1 立即数寻址示意图 图 3-2 直接寻址示意图 寻址方式除隐含使用段寄存器 DS 外,8086/8088 系统还允许利用段超越方法使用段寄存器 只要在有效地址前写上要使用的段寄存器名及冒号 :, 就将不再使用隐含段寄存

48 38 汇编语言程序设计教程 器, 而使用约定的寄存器以形成真正的物理地址 例如 : MOV AX,ES:[68F0H] 其中 ES 为段前缀, 表明形成物理地址时所要使用的段寄存器 在汇编指令中, 可使用符号名地址 ( 标号或变量 ) 进行直接寻址 它们和使用符号名表示数据的立即数寻址是十分相似的, 很难直接判定它们 此时必须通过符号名原来的定义来判定 例如 : DATA SEGMENT DATA1 DW 10 DUP(?) DATA ENDS MOV AX,DATA ; 存储器段地址 ( 高 16 位 ), 送入 AX, 为立即数寻址 MOV DS,AX MOV AX,DATA1 ; 变量值送入 AX, 直接寻址 3. 寄存器寻址所要寻找的操作数在通用寄存器中, 它们可以做源操作数或目的操作数 所用的寄存器可以为 8 位寄存器, 也可以为 16 位寄存器 例如 : MOV AX,BX 如图 3-3 所示为寄存器寻址示意图 寄存器寻址可以使用任何一个通用寄存器, 但使用累加器 AX 时, 指令执行时间要短些 4. 寄存器间接寻址寄存器间接寻址是指要寻址的操作数在存储器中, 它的地址 (16 位偏移量 ) 在寄存器中 操作数地址通常放在 SI DI BX BP 寄存器中 8086/8088 CPU 系统规定, 使用 SI DI BX 间接寻址时, 操作数在数据段中, 应由数据段寄存器 DS 与间接寻址的寄存器一起形成操作数的物理地址 例如 : MOV AX,[SI] 假设 DS=5000H,SI=2000H, 那么, 存取的物理地址存储单元地址是 52000H 再设该字存储单元的内容为 FB09H, 那么在执行该指令后,AX=FB09H 其寻址如图 3-4 所示 当使用 BP 间接寻址时, 操作数在堆栈段中, 应由堆栈段寄存器 SS 与间接寻址的寄存器 BP 形成操作数的物理地址 例如 : MOV AX,[BP]

49 第 3 章 80X86 指令系统 39 图 3-3 寄存器寻址示意图 图 3-4 寄存器间接寻址示意图 假设 SS=6000H,BP=2000H, 那么, 存取的物理地址存储单元地址是 62000H 再设该字存储单元的内容为 7856H, 那么在执行该指令后,AX=7856H 其寻址示意如图 3-5 所示 寄存器间接寻址也可以使用段超越方式工作 密切关注 : 在书写表示寄存器间址寻址的代码时, 寄存器名一定要放在方括号中, 下面两条指令中目的操作数的寻址方式是完全不同 MOV [SI],AX MOV SI,AX ; 目的操作数为寄存器间接寻址 ; 目的操作数为寄存器寻址 5. 寄存器相对寻址寄存器相对寻址 ( 也称为变址寻址 ) 的操作数也在存储器中 寄存器相对寻址是把指定的寄存器内容作为基址 (BX,BP) 或变址 (SI,DI), 与指 令中给定的 8 位或 16 偏移量一起形成有效地址 即 (BX) (BP) 8 位 EA = + 偏移量 (SI) 16位 (DI) 在一般情况 ( 即不使用段超越前缀明确指定段寄存器 ) 下, 如果 SI DI 或 BX 内容作 为有效地址的一部分, 那么引用的段寄存器是 DS; 如果 BP 内容作为有效地址的一部分, 那么引用的段寄存器是 SS 在指令中给定的 8 位或 16 位偏移量采用补码形式表示 在计算有效地址时, 如位移 量是 8 位, 则被带符号扩展成 16 位 当所得的有效地址超过 FFFFH 时, 则取其 64K 的模 当然, 使用段超越方式时, 可以用其他段寄存器 例如 : 科学出版社职教技术出版中心 MOV AX,DTAB[SI] ; 假设 :DS=3000H,SI=1000H,DTAB=1200H 那么, 存取的物理地址存储单元地址是 32200H 再设该字存储单元的内容为 5634H, 那么在执行该指令后,AX=5634H 其寄存器相对寻址示意如图 3-6 所示

50 40 汇编语言程序设计教程 图 3-5 用 BP 寄存器间接寻址示意图 图 3-6 寄存器相对寻址示意图 下面指令中, 源操作数采用寄存器相对寻址, 引用的段寄存器是 SS MOV BX,[BP+4] 下面指令中, 目的操作数采用寄存器相对寻址, 引用的段寄存器是 ES MOV ES:[BX+6],AL 这种寻址方式同样可用于表格处理, 表格的首地址可设置为指令中的偏移量, 利用修改基址或变址寄存器的内容来存取表格中的项值, 所以, 这种方式有利于实现高级语言中对结构或记录等数据类型所实施的操作 密切关注 : 书写时, 基址或变址寄存器名一定放在方括号中, 而位移可不写在方括号中 下面的两条指令源操作数的寻址方式是相同的, 表示的形式等价 MOV AX,[SI+9] MOV AX,9[SI] 6. 基址加变址寻址在指令中, 可以使用两个寄存器作间接寻址 此时 BX BP 作基址寄存器,SI DI 作变址寄存器 这样, 所寻址的操作数的存储器有效地址为基址寄存器 (BX 或 BP) 内容加上变址寄存器 (SI 或 DI) 内容 此种寻址方式为基址加变址寻址 (BX) (SI) EA = + (BP) (DI) 同样, 形成操作数物理地址时, 还应加上段寄存器的值 例如 : MOV AX,[BX][SI] 假设 DS=2000H,BX=3000H,SI=0100H, 那么, 存取的物理地址存储单元是 23100H 再假设该字存储单元的内容为 78CDH, 那么在执行该指令后,AX=78CDH 其寻址示意如图 3-7 所示

51 第 3 章 80X86 指令系统 41 如用 BX 作基址, 则段寄存器使用 DS; 如用 BP 作基址, 则段寄存器使用 SS; 如使用段超越方式, 则段寄存器使用指定的段寄存器 下面指令中, 源操作数采用基址加变址寻址, 通过增加段超越前缀来引用段寄存器 ES MOV AX,ES:[BX+SI] 下面指令中, 目的操作数采用基址加变址寻址, 通过增加段超越前缀来引用段寄存器 DS MOV DS:[BP+SI],AL 这种寻址方式同样也可用于表格或数组处理, 用基址寄存器存放数组首地址, 而用变址寄存器来定位数组中的各元素表格, 或相反 由于两个寄存器都可改变, 所以能更加灵活地访问数组或表格中的元素 MOV AX,[BX+SI] MOV AX,[BX][SI] 7. 相对基址加变址寻址 操作数在存储器中, 操作数的有效地址由基址寄存器之一的内容 变址寄存器之一的内容及指令中给定的 8 位或 16 位偏移量相加得到 (BX) (SI) 8位 EA = + + 偏移量 (BP) (DI) 16位 在一般情况下 ( 即不使用段超越前缀指令明确指定段寄存器 ), 如果 BP 的内容作为有效地址的一部分, 则以 SS 段寄存器的内容为段值, 否则以 DS 段寄存器的内容为段值 在指令中给定的 8 位或 16 位偏移量采用补码形式表示, 在计算有效地址时, 如偏移量是 8 位, 则被带符号扩展成 16 位 当所得的有效地址超过 FFFFH, 则取其 64K 的模 例如 : MOV AX,[BP+DI-3] 假设 SS=7000H,BP=1236H,DI=0302H,-3 的补码为 FFFDH, 那么, 存取的物理存储单元地址是 71535H 再假设该字存储单元的内容是 BE57H, 那么在执行指令后 AX=BE57H 其寻址示意如图 3-8 所示 科学出版社职教技术出版中心 图 3-7 基址加变址寻址示意图 图 3-8 相对基址加变址寻址示意图

52 42 汇编语言程序设计教程 密切关注 : 在使用基址加变址寻址 相对基址加变址寻址这两种指令时, 注意 B I 规定, 即当 BX 做基址寄存器和 SI DI 组成寻址指令时, 段寄存器为 DS; 当 BP 做基址寄存器和 SI DI 组成寻址指令时, 段寄存器为 SS; 在 8086 或 8088 指令系统下,BX 和 BP 寄存器不能同时出现在同一个操作数中, 例如 :MOV AX,[BP][BX] 是非法的指令 当然, 使用段超越方式时, 可以用其他段寄存器 同样, 形成操作数物理地址时, 还应加上段寄存器的值 8. 串操作数寻址 图 3-9 串操作数寻址示意图 串操作指令使用隐含变址寄存器寻址, 源串操作数用 SI 变址寄存器, 段基址由数据段寄存器 DS 决定 ; 目的串操作数用 DI 变址寄存器, 段基址由附加段寄存器 ES 决定 ; 在字符串操作指令中, 还可以自动增 ( 或减 )SI 和 DI 中的内容, 以进行增址 ( 或减址 ) 数据串地址 例如 :MOV SB 其寻址示意如图 3-9 所示 9. 端口寻址 在寻址外设端口时, 使用端口寻址 它有两种寻址方式 : 一种是直接端口寻址, 端口地址为 8 位立即数 (0~FFH); 另一种是间接端口寻址, 当端口地址大于 FFH 时, 需要将端口地址放在 DX 寄存器中 ( 可为 16 进制数, 值为 0~65 535), 完成端口寻址 例如 : OUT 21H,AL MOV DX,380H OUT DX,AL ;AL 内容送 21H 端口输出 ;DX 作间接端口寻址 ;AL 内容送 38DX 端口输出 其寻址方式示意如图 3-10 所示 (a) 直接端口寻址 (b) 间接端口寻址 图 3-10 端口寻址示意图 10. 隐含寻址 在 8086/8088 系统中, 部分指令的操作数没有给出任何说明 但计算机根据操作码即可确定其所要操作的对象 此种寻址方式称为隐含寻址 它的操作对象是固定的, 故也称

53 第 3 章 80X86 指令系统 43 固定寻址 例如 : AAA LES XLAT ; 隐含对 AL 操作 ; 隐含对 ES 操作 ; 隐含对 AL 和 BX 操作 寻址方式小结 : 1) 学习寻址方式后, 应该对指令中确定操作数的方法有所了解, 因此将有助于深入理解指令和正确编写程序 2) 使用寄存器寻址指令时, 因不需要到存储器中存取数据, 可以减少指令码长度, 所以执行速度也较快 3) 存储器寻址 ( 寄存器间址 变址 基址加变址 ) 不但指令码变长, 而且计算有效地址需要花费额外的时间, 所以指令执行时间变长 确定有效地址的因素越多则执行时间就越长 但确定有效地址因素越多, 使用起来就越灵活 比如基址加变址寻址可以随时改变基址寄存器或变址寄存器内容, 十分便于对数据区数据的查寻和处理 4) 变址寄存器寻址可以解决线性数组的存取处理 ; 基址加变址寄存器寻址可以解决矩阵数组的存取处理 3.2 指令系统 8086/8088 CPU 指令系统可按功能划分为 9 类 下面分别介绍各类指令的格式 功能及对状态标志位的影响, 并通过一些小例子说明它们的使用方法, 以使读者对每个指令都能正确理解和运用 数据传送指令 数据传送指令用来实现寄存器和存储器间的字节或字数据传送 与数据传送有关的另一些指令, 如堆栈操作 数据交换 标志位传送以及地址传送等, 虽然有自己的特殊功能, 但也能实现数据的传送, 因此一并放在数据传送类中讲述 下面分述 6 组传送指令 1. 数据传送指令 MOV(MOVE) 科学出版社职教技术出版中心 格式 :MOV OPRD1,OPRD2 OPRDl 为目的操作数, 可以是寄存器 存储器 累加器 ;OPRD2 为源操作数, 可以是寄存器 存储器 累加器和立即数 功能 : 本指令将一个源操作数 ( 字节或字 ) 传送到目的操作数中 ; 传送方向示意如图 3-11 所示 说明 : 本组指令不影响状态标志位 MOV 指令可细分为 4 种传送类别

54 44 汇编语言程序设计教程 (1) 寄存器与寄存器之间的数据传送 例如 : 图 3-11 MOV 指令数据传送方向示意图 MOV AX,BX MOV DL,AH MOV CX,DX MOV ES,DX MOV BP,SI MOV DS,AX ; 字传送 将 BX 内容送 AX,BX 内容保持不变 ; 字节传送 将 AH 内容送 DL,AH 内容保持不变 ; 字传送 将 DX 内容送 CX,DX 内容保持不变 ; 字传送 将 DX 内容送 ES,DX 内容保持不变 ; 字传送 将 SI 内容送 BP,SI 内容保持不变 ; 字传送 将 AX 内容送 DS,AX 内容保持不变 (2) 立即数到通用寄存器的数据传送 例如 : MOV AL,25 MOV AX,100 MOV BX,052AH MOV CH,55H MOV SI,OFFSET TABLE MOV SP,2AC0H ;AL 中的内容为 25=19H ;AX 中的内容为 100=64H ;BX 中的内容为 052AH ;CH 中的内容为 55H ;SI 中的内容为 TABLE 距段基址的偏移量 ;SP 中的内容为 2AC0H 重点关注 : 立即数只能作源操作数, 不允许做目的操作数 (3) 寄存器与存储器之间的数据传送 例如 : MOV AL,BUFFER MOV AX,[SI] MOV LAST[BX+DI],DL MOV SI,ES:[BP] MOV DS,DATA[BX+SI] MOV ALFA [BX+DI],ES ; 将以 BUFFER 为地址的一个字节存储器数送入 AL 中 ; 将 SI 中内容为地址的一个字存储器数送入 AX 中 ; 将 DL 中的一字节数送 LAST[BX+DI] 单元 ; 使用段超越形成的存储器数送入 SI ; 将 DATA[BX+SI] 内容送段寄存器 DS ; 将段寄存器 ES 内容送 ALFA [BX+DI] 重点关注 : 在寄存器与寄存器之间的数据传送 寄存器与存储器之间的数据传送这两种情况下, 代码段寄存器 CS 和指令指针 IP 不参加数据传送 严格地说,CS 可作为源操作数参加数据传送 (4) 立即数到存储器的数据传送例如 : MOV ALFA,25 MOV DS:MEMS[BP],300AH ; 将 25 送 ALFA 单元, 依据 ALFA 是字节或字变量送 8 位或 16 位 ; 将 300AH 送由段超越形成的寄存器相对寻址

55 第 3 章 80X86 指令系统 45 MOV BYTE PTR[SI],15 MOV LAST[BX][DI],0FFH ; 将 15 送入 [SI] 字节单元, 寄存器间接寻址 ; 依据变量 LAST 的类型确定送一个字节或一个字 重点关注 : 立即数向存储器送数, 一定要使立即数与存储器变量类型一致 MOV 指令不具有存储器单元之间的数据传送功能 若要进行存储器单元间的数据传送, 只能借助于通用寄存器间接传递 例如, 把 ALFA1 单元的内容送入 ALFA2 单元中,ALFAl 和 ALFA2 是同一数据段的两个变量, 则可通过下面两条指令传送 : MOV AL,ALFA1 MOV ALFA2,AL ; 取 ALFA1 单元数据送 AL ; 将 AL 内容存入单元数据 ALFA2 2. 堆栈操作指令 PUSH 和 POP(PUSH&POP) (1)PUSH 指令格式 :PUSH OPRD OPRD 为 16 位 ( 字 ) 操作数, 可以是寄存器或存储器操作数 功能 : 将寄存器或存储器单元的内容送入堆栈 操作过程 :SP SP-1;(SP) OPRD H ( 操作数高字节 ) SP SP-1;(SP) OPRD L ( 操作数低字节 ) 重点关注 : 堆栈是计算机内存中开辟的一个专用的数据存储区, 它具有 先进后出 的存储特性 堆栈用于存放需要保护的数据或指令指针, 为后续指令使用作准备 8086/8088 CPU 系统入栈操作是由高地址向低地址扩展, 随着入栈内容的增加,SP 值减小 入栈操作是 SP 先减后送数,SP 操作后总是指向栈顶, 即含有最后进栈数据字节的偏移量地址 栈底在程序初始时设置, 可用 MOV SP,IM 命令实现,IM 为 16 位立即数 例如 :AX=8B09H, 执行 PUSH AX 后的入栈操作示意如图 3-12(b) 所示 例如 : PUSH AX PUSU SI PUSH SS PUSH CS PUSH BATA PUSH ALFA[BX][SI] ;SP SP-2, 将 AX 内容压栈 ;SP SP-2, 将 SI 内容压栈 ;SP SP-2, 将 SS 内容压栈 ;SP SP-2, 将 CS 内容压栈 ;SP SP-2, 将存储器数压栈 ;SP SP-2, 将存储器数压栈 (2)POP 指令格式 :POP OPRD OPRD 为 16 位 ( 字 ) 操作数, 可以是寄存器或存储器操作数 功能 : 将现行 SP 指向的堆栈内容 ( 字 ) 传送到寄存器或存储器单元中 操作过程 :OPRDL (SP),SP SP+1; OPRDH (SP),SP SP+1 科学出版社职教技术出版中心

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2

主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 第 3 章 8086 的寻址方式和指令系统 (1) 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 1 主要内容 指令系统的一般概念 指令操作方式操作码的含义指令对操作数的要求指令执行的结果 寻址方式 指令说明 2015 年 3 月 16 日星期一 8 时 2 分 37 秒 2 3.1 8086 的寻址方式 两种不同的类型 : 一类是程序地址 ( 在代码段中 ) 的寻址方式 ; 另一类是操作数地址的寻址方式

More information

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx 第五讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 4 章寻址方式与指令系统 1 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 2 指令的组成 指令由操作码和操作数两部分组成 操作码操作数 MOV AX, 8726H ADD AX,

More information

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 第 3 章 8086 的寻址方式和指令系统 (2) 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 1 3.3 8086 指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 3.3.1 数据传送指令 可实现 存储器 立即数 段寄存器 CS DS

More information

Microsoft PowerPoint - 微原-第3章3.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章3.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

FJXBQ

FJXBQ 高等医学院校选用教材 ( 供成人教育中医药专业 中西医结合专业使用 ) 方剂学 闫润红 主编 2 0 0 1 内容简介本书是供成人教育中医药专业 中西医结合专业使用的教材 全书分总论和各论两部分, 总论部分对中医方剂的基本理论, 如治法 君臣佐使 剂型 剂量等及其现代研究进展进行了介绍 各论部分对常用方剂的主治病证 配伍意义 临床应用 加减变化规律及现代研究概况等内容, 按分类进行了系统阐述 在保证方剂学学科知识结构完整性的前提下,

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 第 03 章 80X86 的寻址方式和指令 ( 本章内容 ) 3.1 指令的格式 3.2 8086/8088 的寻址方式 3.3 指令系统 3.3.1 数据传送指令 3.3.2 算术运算指令 3.3.3 逻辑运算与移位指令 3.3.4 串操作指令

More information

L1 computer system overview

L1 computer system overview Computer Architecture and Organization Department of Computer Science and Technology Nanjing University Fall 2013 Instructor: Shuai Wang Course Goal In depth understanding of modern computer architecture,

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0

( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 0 ( ), 16/ 32 Intel 8086, Intel, 80486 10, Intel8086 Intel 80486 I/ O,, ( CIP ) /,,. :, 2003.1 ( ) ISBN 7-5624-2376-8................T P36 CIP ( 2002) 094711 : : : : * : : 174 ( A ) : 400044 : (023) 65102378

More information

数据库系统概论

数据库系统概论 指令系统 是指 CPU 能完成的所有 指令的集合, 它是在 CPU 设计时就确定了的 所以, 对不同的 CPU, 其指令系统中所包含的具体指令将是各不相同的 但 8088/8086 CPU 的指令系统是完全一样的 8088/8086 CPU 的指令系统可分成下面 9 类 : (1) 数据传送指令 ; (2) 算术运算指令 ; (3) 逻辑运算指令 ; (4) 移位指令 ; (5) 标志处理指令和 CPU

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书

图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, ISBN Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B 中国版本图书馆 CIP 数据核字 (2005) 第 号 书 做事细节全书 赵彦锋著 企业管理出版社 图书在版编目穴 CIP 雪数据做事细节全书 / 赵彦锋编著郾 北京 : 企业管理出版社, 2005.11 ISBN 7-80197-338-0 Ⅰ 郾做... Ⅱ 郾赵... Ⅲ 郾工作方法 通俗读物 Ⅳ 郾 B026-49 中国版本图书馆 CIP 数据核字 (2005) 第 136676 号 书 名 : 做事细节全书 作 者 : 赵彦锋 责任编辑 : 吴太刚

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

数据库系统概论

数据库系统概论 2. 减法指令 8086/8088 共有 5 条减法指令 1 不带 CF 的减法指令 (SUB) 格式 :SUB DST, SRC 功能 :(1)DST (DST)-(SRC) (2) 根据差设置 6 个状态标志 2 带 CF 的减法指令 (SBB) 格式 :SBB DST, SRC 功能 : (1)DST (DST)-(SRC)-CF (2) 根据差设置 6 个状态标志 3 减 1 指令 (DEC)

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

Microsoft PowerPoint - Ch3-8086CPUæ„⁄令系ç»�(3)-æŁ°æ“®ä¼€é•†æ„⁄令

Microsoft PowerPoint - Ch3-8086CPUæ„⁄令系ç»�(3)-æŁ°æ“®ä¼€é•†æ„⁄令 2017 版 微机原理与系统设计 第 3 章 8086CPU 指令系统 董明皓, 博士 西安电子科技大学 dminghao@xidian.edu.cn 目录 (12 课时 ) 1 2 3 4 5 汇编语言基本概念 8086 指令分类数据与转移地址的寻址方式 8086 的六类指令总结 微机原理与系统设计 8086CPU 的指令系统董明皓 dminghao@xidian.edu.cn 2 基础知识引入

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心 科学出版社职教技术出版中心

More information

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2

主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 第 2 章 8086 CPU 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 1 主要内容 微型机的构成 ( 回顾 ) 总线 接口 8086 CPU 的结构及工作原理 8086 存储器 8086 系统配置 2015 年 3 月 9 日星期一 3 时 38 分 16 秒 2 2.1 微型机的基本结构 掌握 微机系统的基本组成 微型机的工作原理 总线 接口 2015 年 3 月 9 日星期一

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算

图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, ( 图灵程序设计丛书 ) ISBN Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算 图灵程序设计丛书 程序员的数学 3: 线性代数 [ 日 ] 平冈和幸堀玄著 卢晓南译 图书在版编目 (CIP) 数据程序员的数学. 3, 线性代数 /( 日 ) 平冈和幸, ( 日 ) 堀玄著 ; 卢晓南译. 北京 : 人民邮电出版社, 2016.3 ( 图灵程序设计丛书 ) ISBN 978-7-115-41774-9 Ⅰ. 1 程 Ⅱ. 1 平 2 堀 3 卢 Ⅲ. 1 电子计算机 数学基础 2

More information

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11

提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, / 11 .. 软件综合实验之操作系统 进入保护模式 陈香兰 中国科学技术大学计算机学院 July 1, 2016 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 1 / 11 提纲. 1 实验准备. 2 从实模式进入保护模式. 3 小结 陈香兰 ( 中国科学技术大学计算机学院 ) 软件综合实验之操作系统 July 1, 2016 2 / 11 实验准备 实验环境准备

More information

幻灯片 1

幻灯片 1 字符串处理是指对一系列的字母或数字的代码进行相同功能的处理 计算机中字符代码一般都采用 ASCII 码, 每个字符的代码占一个字节, 一组字符串存放在一个连续的存储区中 存放在连续的存储区中的这组字符串, 可看为一个数据块 为了提高对字符串 ( 或数据块 ) 的处理效率,8086/8088 指令系统中专门提供了一组对字符串处理的指令, 这些指令包括 : 字符串传送指令 (MOVS) 字符串比较指令

More information

习 题 一

习  题  一 第 1 页共 13 页 微机原理与接口技术 A 卷 一 填空题 ( 共计 20 分 每个空 2 分 ) 1 已知 [X] 补 =01100011B, 求 X=( ) ( 结果用十进制表示 ) [Y] 补 =11111001B, 求 Y=( ) ( 结果用十进制表示 ) 2 8088CPU 的地址总线为多少条 ( ); 直接寻址的内存空间为多少 ( ) 3 已知 DS=2000H, 内存 (20200H)=FFH,(20201H)=22H

More information

Microsoft PowerPoint - Ch3-8086CPU指令系统(2)-寻址.pptx

Microsoft PowerPoint - Ch3-8086CPU指令系统(2)-寻址.pptx 2018 版 微机原理与系统设计 第 3 章 8086CPU 指令系统 董明皓, 博士 西安电子科技大学 dminghao@xidian.edu.cn 目录 1 2 3 4 5 汇编语言基本概念 8086 指令分类数据与转移地址的寻址方式 8086 的六类指令总结 2 目录 1 2 3 4 5 汇编语言基本概念 8086 指令分类数据与转移地址的寻址方式 8086 的六类指令总结 3 8086 指令分类

More information

Microsoft PowerPoint - CH2_1.ppt [兼容模式]

Microsoft PowerPoint - CH2_1.ppt [兼容模式] 第二章微型计算机指令系统 IA-16 微机系统结构 - 工作原理 8086/8088 微处理器周期性地执行指令 时钟 /T 周期 指令周期 总线周期 ( 内部操作 / 总线操作 ) 指令 --- 微机算术 逻辑和控制功能的实现基础 重点 : 信息交换方法 ( 数据流 ), 程序流控制 *11 目标地址传送 * 寻址方式 2 标志传送 3 转移控制 ( 转移指令 过程调用 中断 ) 微机系统与接口东南大学

More information

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言

一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1. 汇编语言 ABeen 汇编语言 学习摘要 ABeen 学习记录 --- 汇编语言篇 QQ: 155235900 1 / 8 一 汇编语言计算机基础 从事计算机科学方面的工作, 汇编语言是我们必不可少缺的基础 我们的工作平台 研究对象都是机器, 而汇编语言是人和计算机沟通的最直接方式, 它描述了机器最终所要执行的指令序列 学习汇编语言可以让你充分获得底层编程的体验, 深刻理解机器运行程序的机理 二 基础知识 1.

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

数据库系统概论

数据库系统概论 2. 减法指令 8086/8088 共有 5 条减法指令 1 不带 CF 的减法指令 (SUB) 格式 :SUB DST, SRC 功能 :(1)DST (DST)-(SRC) (2) 根据差设置 6 个状态标志 2 带 CF 的减法指令 (SBB) 格式 :SBB DST, SRC 功能 : (1)DST (DST)-(SRC)-CF (2) 根据差设置 6 个状态标志 3 减 1 指令 (DEC)

More information

第二章 8086体系结构与80X86CPU

第二章  8086体系结构与80X86CPU 第二章 80x86 体系结构 1 8086CPU 结构 2 8086 系统的结构和配置 3 8086 的工作模式和内部时序 4 IA 微处理器技术的发展 * 2.1 8086CPU 结构 一 8086CPU 的内部结构 二 8086CPU 的寄存器结构 三 8086CPU 的管脚及功能 2.1 8086CPU 结构一 8086CPU 的内部结构 8086CPU 的内部结构组成 8086CPU 由两部分组成

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

Microsoft PowerPoint - CH2_1.ppt [兼容模式]

Microsoft PowerPoint - CH2_1.ppt [兼容模式] 第二章微型计算机指令系统 IA-16 微机系统结构 - 工作原理 8086/8088 微处理器周期性地执行指令时钟 /T 周期 指令周期 总线周期 ( 内部操作 / 总线操作 ) 指令 --- 微机算术 逻辑和控制功能的实现基础 重点 : 信息交换方法 ( 数据流 ), 程序流控制 *1 目标地址传送 * 寻址方式 2 标志传送 3 转移控制( 转移指令 过程调用 中断 ) 微机系统与接口东南大学

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作

论文,,, ( &, ), 1 ( -, : - ), ; (, ), ; ;, ( &, ),,,,,, (, ),,,, (, ) (, ),,, :. : ( ), ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ), ( ),,,, 1 原译作 修补者, 但在英译版本中, 被译作 * 夏传玲 : 本文简要回顾了国内外定性研究在最近 多年的发展概况, 总结 了定性研究的六个发展趋势和分析策略上的三种流派 在上述两种背景下, 本文探讨了计算机辅助的定性分析给定性研究带来的机遇和挑战, 特别是它和手工操作对比时的优势和劣势, 以及应用这种定性分析技术所可能面临的困难 : 定性研究定性分析 文化差异,, (, ),,,, ( - ) ( - ) ( - ) ( - ) ( - ) (

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

第四章 8086汇编语言程序设计

第四章 8086汇编语言程序设计 第四章汇编语言程序设计 几个概念 8086 汇编语言的语句 8086 汇编中的伪指令 8086 汇编中的运算符 汇编语言程序设计 系统调用 几个概念 1. 汇编语言 2. 汇编语言源程序 3. 汇编 4. 汇编程序 5. 什么是汇编语言 (Assembly Language)? 6. 使用指令的助记符 符号地址和标号等编写的程序设计语言 7. 每条指令都有对应的机器码, 不同的 CPU 使用不同的汇编语言

More information

Microsoft Word - FM{new}.doc

Microsoft Word - FM{new}.doc Lanczos 方法 Louis Komzsik 著张伟廖本善译 演变与应用 清华大学出版社 北京 内容简介 Lanczos 方法是 20 世纪计算数学方向最有影响的方法之一, 并且已经在工程中得到了广泛应用. 本书兼顾了 Lanczos 方法的理论演变和工程中的实际应用, 其内容分为两部分 : 第一部分阐述了方法的演变, 并提供了具体算法 ; 第二部分讨论了工业中的实际应用, 包括常用的模态分析

More information

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ]

MSP430ϵÁе¥Æ¬»úµÄÖ¸Áîϵͳ.pps [¼æÈÝģʽ] 作者 : 利尔达 MSP430 系列单片机的指令系统 1 CPU 内核组成 : 16 位的 (ALU) 算术运算单元 16 个寄存器 (PC SP SR R4~R15) 指令控制单元 2 存储器组织结构 3 外围模块寄存器地址 它们被分配在相应的字模块或字节模块当中 分配在 00-FFH 中为字节, 分配在 100-1FFH 中为字 4 寻址模式 : 5 指令格式 : 1) 书写格式标号指令助记符源操作数,

More information

C. 大规模集成电路 D. 晶体管 参考答案 B 8. 采用超大规模集成电路的计算机是计算机发展中的 A. 第 4 代 B. 第 3 代 C. 第 2 代 D. 第 1 代 参考答案 A 9. 当前的计算机一般被认为是第四代计算机, 它所采用的逻辑元件是 A. 晶体管 B. 集成电路 C. 电子管

C. 大规模集成电路 D. 晶体管 参考答案 B 8. 采用超大规模集成电路的计算机是计算机发展中的 A. 第 4 代 B. 第 3 代 C. 第 2 代 D. 第 1 代 参考答案 A 9. 当前的计算机一般被认为是第四代计算机, 它所采用的逻辑元件是 A. 晶体管 B. 集成电路 C. 电子管 计算机基础知识 1 1. 自计算机问世至今已经经历了四个时代, 划分时代的主要依据是计算机的 A. 规模 B. 功能 C. 性能 D. 构成元件 参考答案 D 2. 一般认为, 世界上第一台电子数字计算机诞生于 A.1946 年 B.1952 年 C.1959 年 D.1962 年 参考答案 A 3. 世界上第一台电子数字计算机采用的电子器件是 A. 大规模集成电路 B. 集成电路 C. 晶体管 D.

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

微机原理--汇编、连接和调试

微机原理--汇编、连接和调试 微机原理课程 汇编语言程序的编辑 汇编 连接和调试 西安电子科技大学 探测制导系 内容提要 编写汇编语言程序的五个重要步骤 编写源程序 汇编过程 连接过程 汇编语言编程过程 DEBUG 主要功能 DEBUG 命令 程序设计举例 结束语 编写汇编语言程序的五个重要步骤 1. 编写源程序, 如 L1.ASM 2. 汇编源程序, 得到目标代码,L1.OBJ 3. 目标代码文件的连接, 生成可执行文件 L1.EXE

More information

微机系统与接口--第5章-2.ppt

微机系统与接口--第5章-2.ppt 第五章 数字量输入输出接口 主 要 内 容 * 接口基本概念 * 接口电路 ( 芯片 ) 端口地址 * 数据传送方式 * 总线及其接口 * 中断电路及其处理 * 定时 / 计数器电路与应用 * 并行接口电路与应用 * 串行接口电路与应用 * DMA 电路与应用 1 总线基本概念 CPU 总线 地址总线 AB CPU 存储器 数字 I/O 接口 输入出设备 模拟 I/O 接口 输入出设备 数据总线 DB

More information

本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应

本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应 微机原理习题课 助教 : 王骏腾 本次习题课中提到的 课本, 均指机械工业出版社的 Intel 微处理器 ( 原书第八版 ) 中文版, 使用其他版本课本的同学需要自己对应 习题 33:Core2 处理器可寻址 存储器? 答案 :4GB 64GB 1TB ( 课本 P18 表 1-6) 第一章 习题 53: 信号的作用是什么? 答案 :I/O 读控制, 低电平有效 ( 两点都要答上, 详细可见课本 P20)

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 2 课程介绍 课程性质 : 必修, 电类专业 ( 信息工程 电气工程 测控技术 应用电子 ) 学科专业技术基础基础课学时 : 授课 48 学时 (3.5 学分 ), 实验 10 学时 ( 单独 ) 考试 : 题库命题统考 ( 笔试 作业实验

More information

PowerPoint Presentation

PowerPoint Presentation 一. 上机环境 应用软件系统软件硬件 4.5 汇编语言程序设计上机步骤与调试方法 用户程序 :ABC.exe 等 操作系统 :DOS 系统编辑器 : EDIT.exe 编程序 : MASM.exe 连接程序 :LINK.exe 调试程序 :DEBUG.exe CPU 存储器 (ROM RAM) I/O 接口 输入 输出设备 编辑源程序 EDIT ABC.ASM 二. 上机步骤 1 D:>EDIT ABC.ASM

More information

科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版

More information

科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版

More information

科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版

More information

科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版 中 心 www.aboo 科 学 出 版 社 职 教 技 术 出 版

More information

3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四 11 时 3

3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四 11 时 3 第 3 章 8086 的寻址方式和指令系统 (3) 2015 年 3 月 26 日星期四 11 时 3 分 17 秒 1 3.3.2 算术运算指令 数据类型 : 无符号和有符号整数 无符号数又分成 : 无符号二进制数 ; 无符号压缩十进制 :1 字节表示 2 个十进制数 ; 无符号非压缩十进制 :1 字节表示 1 个十进制数 有符号数 : 有符号二进制数 用补码表示 2015 年 3 月 26 日星期四

More information

一、单选题(本大题共15小题,每小题1分,共15分)

一、单选题(本大题共15小题,每小题1分,共15分) 北京大学信息科学技术学院考试试卷 科目 : 微机原理 A 姓名 : 学号 : 题号一二三四五六七八总分 分数 阅卷人 考试时间 : 2010 年 1 月 8 日任课教师 : 王克义装订线内请勿答题考场纪律 1. 请持学生证入场考试, 并按指定座位就座 ; 除必要的文具和教师指定的用具用书外, 其他所有物品包括手机 呼机 MP3 电子词典 书籍 笔记 纸张等严禁带入座位, 必须放在指定位置 凡有试题印制问题请向监考教师提出,

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

一、填空题

一、填空题 微机原理及汇编语言复习题一 选择题 1. 完整的计算机系统应包括 ( ) A. 运算器 控制器 寄存器组 总线接口 B. 外设和主机 C. 主机和应用程序 D. 配套的硬件设备和软件系统 2. 计算机系统中的存储器系统是指 ( ) A.RAM B.ROM C. 主存储器 D. 内存和外存 3. 机器语言是指 ( ) A. 用英语缩写词表示的面向机器的程序设计语言 B. 用二进制代码表示的程序设计语言

More information

untitled

untitled 图书在版编目 (CIP) 数据 家居美化中的巧 / 陈赞等编著. 北京 : 中国林业出版社,2003.4 ISBN 7-5038-3399-8 I. 家 II. 陈 III. 住宅 室内装饰 基本知识 IV.TU241 中国版本图书馆 CIP 数据核字 (2003) 第 022376 号 版权所有翻印必究 1 2002.10 1 ...1...1...2...2...3...4...5...6...7...8...8...10...10...11...12...12...13...13...15...15...16...17...18...19...20...20...20...21...22

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 上篇习题解答 第 1 章 微型计算机概述 思考题与习题解答 1.1 本章重点 1. 计算机的发展与应用 (1) 计算机的发展过程 计算机的发展根据其采用逻辑器件的组成情况, 到目前为止已经历了四代 第一代为电子管计算机 ; 第二代为晶体管计算机 ; 第三代为中小规模集成电路计算机 ; 第四代为大规模和超大规模集成电路计算机 (2) 计算机的发展趋势 随着科学技术的发展, 未来计算机将向高性能 网络化

More information

L15 MIPS Assembly

L15 MIPS Assembly Lecture 19: MIPS Assembly Language 程序的机器级表示主要内容 MIPS 指令格式 R- 类型 / I- 类型 / J- 类型 MIPS 寄存器 长度 / 个数 / 功能分配 MIPS 操作数 寄存器操作数 / 存储器操作数 / 立即数 / 文本 / 位 MIPS 指令寻址方式 立即数寻址 / 寄存器寻址 / 相对寻址 / 伪直接寻址 / 偏移寻址 MIPS 指令类型

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第九章中断与中断管理 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC 机硬件中断 第九章中断与中断管理 9.1 中断原理 9.2 中断系统组成及其功能 9.3 中断源识别及中断优先权 9.4 8086 中断系统 9.5 可编程中断控制器 8259 9.6 IBM-PC

More information

第4章 80X86指令系统

第4章  80X86指令系统 第 4 章 80x86 指令系统 一 练习题 ㈠选择题 1.MOV AX,[BX+SI] 的源操作数的物理地址是 ( ) A.(DS) 16+(BX)+(SI) B. (ES) 16+(BX)+(SI) C.(SS) 10H+(BX)+(SI) D.(CS) 10H+(BX)+(SI) 2.MOV AX,[BP+Sl] 的源操作数的物理地址是 ( ) A.(DS) 10H+(BP)+(SI) A.

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU

CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU --- CPU CPU Intel CPU AMD CPU CPU Socket A/Socket 370 CPU Socket 478 CPU CPU CPU CPU CPU 2.1 CPU 1. 4 Intel 4004 1971 Intel 4004 2-1 2-1 Intel 4004 2. 8 Intel 8008/8080/8085 1972 Intel 8008 2-2 2-2 Intel

More information

投影片 1

投影片 1 2 理 1 2-1 CPU 2-2 CPU 理 2-3 CPU 類 2 什 CPU CPU Central Processing Unit ( 理 ), 理 (Processor), CPU 料 ( 例 ) 邏 ( 例 ),, 若 了 CPU, 3 什 CPU CPU 了, 行, 利 CPU 力 來 行 4 什 CPU 5 2-2-1 CPU CPU 了 (CU, Control Unit) / 邏

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041

图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, ( 沈郎文字 ) ISBN Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041 图书在版编目 (CIP) 数据 满堂花醉 / 沈胜衣著. 南京 : 江苏教育出版社, 2005.4 ( 沈郎文字 ) ISBN 7-5343-6512-0 Ⅰ. 满... Ⅱ. 沈... Ⅲ. 作家 - 人物研究 - 世界 Ⅳ.K815.6 中国版本图书馆 CIP 数据核字 (2005) 第 041843 号 出版者社址网址出版人 南京市马家街 31 号邮编 :210009 http://www.1088.com.cn

More information

教学输入与学习者的语言输出 温晓虹 本文从三个方面探讨了语言的输入与输出的关系 首先从理论研究的角度讨 论了从语言输入到语言输出的习得过程 实验研究表明 输入的语言素材必须被学习者所接收 即使接收了的内容也并不会自动进入中介语的体系 而是需要进一步对输入语言进行 分解 归类等分析性与综合性的处理 在语言 内化 的基础上 学习者的中介语系统才能 够不断地得到重新组合 趋于目的语 另外 学习者在语言输出前和输出时需要调节

More information

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D.

B. 工资管理软件 C. 用汇编语言编写的一个练习程序 D.Windows 操作系统 86. 计算机操作系统是一种 A. 系统软件 B. 应用软件 C. 工具软件 D. 调试软件 参考答案 A 87. 下列 4 种软件中属于应用软件的是 A. 财务管理系统 B.DOS C.Windows 98 D. 计算机基础知识 2 79. 在计算机领域中, 通常用英文单词 "byte" 来表示 A. 字 B. 字长 C. 字节 D. 二进制位 参考答案 C 80.8 个字节含二进制位 A.8 个 B.16 个 C.32 个 D.64 个 81. 计算机能解决有大量数据和程序语句的问题, 起主要作用的因素是 A. 大尺寸的彩显 B. 快速的打印机 C. 大容量内外存储器 D. 好的程序设计语言 参考答案 C

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242

考试时间课程名称级人数考试地点 机械工程 17 级卓越 1 30 D-386 机械工程 17 级卓越 2 30 D-386 自动化 17 级 1 30 D-3108 自动化 17 级 2 30 D-3108 电子信息工程 17 级 1 32 C-170 电子信息工程 17 级 2 32 C-242 考试时间课程名称级人数考试地点 纺织工程 17 级 1 26 D-282 纺织工程 17 级 2 28 D-282 纺织工程 17 级 3 29 D-284 纺织工程 17 级 4 29 D-284 纺织工程 17 级 5 28 D-286 纺织工程 17 级 6 26 D-286 高分子材料与工程 17 级 1 31 C-142 非织造材料与工程 17 级 1 24 D-2108 纺织工程 17

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

66 微型计算机原理与接口技术 ( 第二版 ) 2. 指令格式计算机中的汇编指令由操作码字段和操作数字段两部分组成 (1) 操作码字段 操作码表示计算机要执行的某种指令功能, 由它来规定指令的操作类型, 说明计算机要执行的具体操作, 例如传送 运算 移位 跳转等操作 同时还指出操作数的类型 操作数的

66 微型计算机原理与接口技术 ( 第二版 ) 2. 指令格式计算机中的汇编指令由操作码字段和操作数字段两部分组成 (1) 操作码字段 操作码表示计算机要执行的某种指令功能, 由它来规定指令的操作类型, 说明计算机要执行的具体操作, 例如传送 运算 移位 跳转等操作 同时还指出操作数的类型 操作数的 第 3 章指令系统 本章学习目标 每种 CPU 芯片都配置有相应的指令系统, 供用户编程使用 本章从指令格式 寻址的概念着手, 具体讨论 8086 系统中采用的寻址方式, 分析 8086 指令系统中各类指令的功能 特点及应用, 并引申到 Pentium 微处理器新增指令和寻址方式的特点 通过本章的学习, 重点理解和掌握以下内容 : 指令格式及寻址的有关概念 8086 指令系统的寻址方式及其应用 8086

More information

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E >

<4D F736F F F696E74202D DB5DABEC5BDB22DCEA2B4A6C0EDC6F7B5C4D3B2BCFEBDE1B9B9A3A8D2BBA3A92E > 第九讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 7 章微处理器的内部结构及外部功能特性 1 主要内容 一 微处理器的总体结构 二 高速缓存 三 流水线 四 超标量流水线 2 微处理器相关的若干关键设计 总线 : 提高微处理器 存储器和外设之间的传输效率

More information

微型计算机原理与应用

微型计算机原理与应用 微型计算机原理与接口技术 主讲 : 张国钢副教授 ( 电气工程学院 ) 2016 年 9 月 学什么? 微机原理 建立以 INTEL80X86 为典型机型的计算机内部整机工作的基本原理, 并掌握应用汇编语言进行程序设计的基本方法 ; 接口技术 掌握存储器 I/O 接口电路与 CPU 连接方法 可编程接口电路应用技术 讲什么? 1. 微机系统发展与应用概述 (2 课时 ) 2. 微型计算机应用基础 (2

More information

工程项目进度管理 西北工业大学管理学院 黄柯鑫博士 甘特图 A B C D E F G 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 甘特图的优点 : 直观明了 ( 图形化概要 ); 简单易懂 ( 易于理解 ); 应用广泛 ( 技术通用 ) 甘特图的缺点 : 不能清晰表示活动间的逻辑关系 WBS 责任分配矩阵 ( 负责〇审批

More information

!"# $ %&'!"#$

!# $ %&'!#$ !"# $ %&'!"#$ 内容简介本书是在作者已经出版的 XilinxAlProgrammableZynq-7000SoC 设计指南 一书的基础上进行大幅度修订而成的 本书的一大特色就是更加突出 ARMCortex-A9 双核处理器的使用 此外, 在修订本书时采用了 Xilinx 最新的 Vivado2015 4 集成开发环境 通过本书的修订, 能反映最新的 ARM 嵌入式设计技术和实现方法, 同时也能更加凸显采用异构架构的

More information

图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, ISBN Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 04185

图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, ISBN Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 04185 图书在版编目 (CIP) 数据 文学与现代性批判 / 邵建著. 南京 : 江苏教育出版社, 2005.4 ISBN 7-5343-6528-7 Ⅰ. 文... Ⅱ. 邵... Ⅲ. 当代文学 - 文学研究 - 中国 Ⅳ.I206.7 中国版本图书馆 CIP 数据核字 ( 2005 ) 第 041850 号 出版者社址网址出版人 南京市马家街 31 号邮编 :210009 http://www.1088.com.cn

More information

<4D F736F F F696E74202D DB5DAB0CBBDB22DBBE3B1E0D3EFD1D4B3CCD0F22E >

<4D F736F F F696E74202D DB5DAB0CBBDB22DBBE3B1E0D3EFD1D4B3CCD0F22E > 第八讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 汇编语言的主要特点 二 汇编语言程序的结构 三 汇编语言的基本语法 四 汇编语言程序的开发调试 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 5 章汇编语言的基本语法第 6 章汇编语言程序设计及应用 1 主要内容 一 汇编语言的主要特点 二 汇编语言程序的结构 三 汇编语言的基本语法 四 汇编语言程序的开发调试

More information

科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心 www.aboo 科学出版社职教技术出版中心

More information

主要内容 : 汇编语言源程序的结构汇编语言语句格式伪指令语句功能调用汇编语言程序设计方法宏汇编和条件汇编 2015 年 3 月 30 日星期一 7 时 58 分 57 秒 2

主要内容 : 汇编语言源程序的结构汇编语言语句格式伪指令语句功能调用汇编语言程序设计方法宏汇编和条件汇编 2015 年 3 月 30 日星期一 7 时 58 分 57 秒 2 第 4 章 汇编语言程序设计 (1) 2015 年 3 月 30 日星期一 7 时 58 分 56 秒 1 主要内容 : 汇编语言源程序的结构汇编语言语句格式伪指令语句功能调用汇编语言程序设计方法宏汇编和条件汇编 2015 年 3 月 30 日星期一 7 时 58 分 57 秒 2 机器语言 二进制数形式的指令和数据 B0 64 是什么意思? 这就是机器语言 既不直观, 又不易理解和记忆. MOV

More information

重点产品技术性贸易措施研究报告-音视频产品

重点产品技术性贸易措施研究报告-音视频产品 --------------------------------------------------------------- ------------------------------------------------------ --------------------------------------------------------- -------------------------------------------

More information

第1章 概论

第1章 概论 计算机组成 原理蒋本珊 学习指南 本课程的性质 是计算机科学与技术专业本科生的核心课程之一, 是必修的专业基础课 学习指南 本课程的地位 本课程在计算机学科中处于承上启下的地位, 要求先修的课程有 : 计算机科学导论数字电路 学习指南 本课程的任务 讨论单机系统范围内计算机各部件和系统的组成以及内部工作机制 通过学习, 掌握计算机各大部件的组成原理 逻辑实现 设计方法及其互连构成整机系统的技术, 并为后继课程的学习打好基础

More information

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用

第 期 曹 源 等 形式化方法在列车运行控制系统中的应用 第 卷 第 期 年 月 交通运输工程学报 曹 源 唐 涛 徐田华 穆建成 为了确保列车运行控制系统设计和开发的正确性 比较了仿真 测试和形式化 种能够验证 系统设计正确性的方式 根据列车运行控制系统对安全的苛求性 提出了 个与系统安全相关的重要特性 即实时性 混成性 分布 并发 性 反应性 并分析了与这些特性相关的具体形式化方法 通 过对每种形式化方法的数学基础和应用范围的分析和归类 给出了各种方法的优势和不足

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C) (D) 5. ( B ) (Stored Program) (A) H

1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C) (D) 5. ( B ) (Stored Program) (A) H ... 2... 4... 6... 8... 10... 12... 14... 16... 18... 20... 22... 24... 25... 26... 28 1. ( B ) IT (A) (B) (C) (D) 2. ( A ) (A) (B) (C) (D) 3. ( B ) (A) GPS (B) GIS (C) ETC (D) CAI 4. ( D ) (A) (B) (C)

More information