MiCOKit硬件手册

Size: px
Start display at page:

Download "MiCOKit硬件手册"

Transcription

1 HED Documentation Working Group (HDWG) Track Number: Andy Liu MXCHIP Co., Ltd Version: Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考 适用对象 (Suitable Readers) 本文适合 MiCOKit-3239 评估板以及相关扩展板, 旨在让开发者使用 EMW3239 时参考 上海庆科信息技术有限公司 Shanghai MXCHIP Information Technology Co., Ltd. 地址 : 上海市普陀区金沙江路 2145 弄 B 幢 9 楼 (200333) 电话 : 网址 :

2 Reference Manual [Page 1] 版本更新说明 日期修改人版本更新内容 Andy Liu V 初始版本 杜松 V1.1 增加第三章 MiCOKit-3239 详解内容

3 Reference Manual [Page 2] 目录... 1 版本更新说明 MiCOKit 系列开发套件概述 硬件 软件 开发者支持 MiCOKit-3239 主板详解 电源 USB 转 UART WI-FI 模块型号 MiCOKit-3239 之 Wi-Fi 模块 LED 指示灯 运行状态选择 按键 JTAG 调试接口 ARDUINO 接口 电路图 MiCOKit-3239 扩展板详解 OLED 显示屏 RGB 三色 LED 环境传感器 ( 选配 ) 九轴运动传感器 ( 选配 ) 苹果认证芯片 ( 选配 ) 距离 光照传感器 温湿度传感器 红外反射开关 光照传感器 微型直流电动机 标准 ARDUINO 接口 ARDUINO 传感器接口 UART 接口 图目录图 1.1 EMW3239 开发套件... 4 图 2.1 主板功能模块示意图... 6 图 2.2 电源电路原理图... 7

4 Reference Manual [Page 3] 图 2.3 USB 转 UART 部分电路原理图... 8 图 2.4 USB 转出来的串口... 8 图 2.5 EMW3239 模块... 9 图 2.6 LED 指示灯原理图... 9 图 2.7 按键部分原理图 图 2.8 JTAG 接口部分原理图 图 2.9 Arduino 接口部分原理图 图 3.1 MiCOKit 扩展板功能图 图 3.2 OLED 显示屏电路原理图 图 3.3 RGB 驱动电路原理图 图 3.4 P8913 驱动时序图 图 3.5 环境传感器电路原理图 图 3.6 九轴运动传感器电路原理图 图 3.7 苹果 CP 芯片电路原理图 图 3.8 距离 光照检测电路原理图 图 3.9 温湿度电路原理图 图 3.10 DHT11 时序图 图 3.11 红外反射电路原理图 图 3.12 光照传感器电路原理图 图 3.13 直流电机电路原理图 图 3.14 扩展板 Arduino 电路原理图 图 Arduino 传感器接口电路原理图 图 3.16 UART 接口电路原理图 表目录 表 2.1 工作模式选择... 10

5 Reference Manual [Page 4] 1. MiCOKit 系列开发套件概述 MiCOKit EMW3239 是上海庆科 (MXCHIP) 推出的基于物联网操作系统 (MiCO) 系列开发套件, 可 用于物联网 智能硬件的原型机开发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的 软件和功能, 使产品可以快速 安全地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 MiCOKit 主要特点 : 基于物联网操作系统 MiCO, 高效 易用 安全 ; 提供完整 Demo 工程和 MiCOKit SDK; 套件由硬件 软件和开发者论坛等组成, 包括 MiCOKit 开发板和快速连接到云服务的演示应用程序, 使用智能手机或平板电脑就能进行安全控制和操作 公有云平台对接 : 资源丰富, 支持阿里智能云 Ayla FogCloud GizWits Arrayent 苏宁智能云平台服务等 ; 开发者无需套接字编程 无需开发网络代码和学习云端连接 套件外观如图 1.1 所示 1.1. 硬件 图 1.1 EMW3239 开发套件 MiCOKit 系列开发套件采用双板层叠结构 主板是带 MCU 和 Wi-Fi 的 Arduino 标准板 主板有多种型号, 分别 -P 与 -E 模块, 默认 -P 产品 (-P 为板载天线,-E 需要 IPEX 外接 ),MiCOKit-3239: 包含底板和扩展板 ;MiCOKit-base-3239: 仅底板

6 Reference Manual [Page 5] 1.2. 软件 MiCOKit 开发套件使用 MiCO 物联网操作系统, 提供根据 IoT 应用特点抽象的应用程序框架, 用户可以像传统 51 单片机那样非常方便地进行智能硬件产品开发 1.3. 开发者支持 1. MiCOKit 系列开发套件硬件和软件开发资料获取, 可至 MiCO 开发者官方网站 : 包括 : (1) 论坛 ( ) - 开发者可在此进行技术问题的提问, 分享与讨论 ; (2) 学院 ( ) 开发者可在此获取相关开发视频内容 ; (3) 开发者中心 ( ) 开发者可在此获取最新发布的 SDK 及 IDE, 中间件及技术开发资料 2. 上海庆科模块发布官方网站 : 3. 上海庆科 FogCloud 云平台官方网站 :

7 Reference Manual [Page 6] 2. MiCOKit-3239 主板详解 MiCOKit 系列开发板硬件采用层板结构 单主板是带 MCU 和 Wi-Fi 模块 支持 b/g/n 标准, 集 Cortex-M4 微控制器, WLAN MAC/Baseband/RF 于一体 包含 256KB SRAM/ 3MB FLASH 配置 Wi-Fi & BT4.1 嵌入式模块 工作电压 :DC 5.0 V Wi-Fi 相关特性 支持 b/g/n 标准 支持 Station, Soft AP, Station+Soft AP 支持 EasyLink,Alink PCB 天线或 IPEX 天线可选 外设 : 支持高速 UART,I2C,SPI,PWM,I2S,ADC 以及多个 GPIO 口 MiCOKit 主板的接插件采用色彩来区分功能, 黄色插件是数字信号接口, 蓝色插件是模拟信号接口, 红色插件是电源接口, 如图 2.1 所示 图 2.1 主板功能模块示意图

8 Reference Manual [Page 7] 2.1. 电源 MiCOKit 采用 USB 接口通信和供电的模式, 板载一个 3.3V 的高效率 DC-DC, 型号为 TD6817 TD6817 是一种高效率 (96%) 恒定频率(1.5MHz) 单片同步降压稳压器, 器件有输出电压可调版本和 1.5V 1.8V 固定电压输出版本, 输出电流最大 2A, 但是静态损耗只有 20uA,2.5V 至 5.5V 的输入电压范围使 TD6817 非常适用于单节锂离子电池供电的应用 开关频率在内部设置为 1.5MHz, 方便使用小型表面贴装电感器和电容器 器件具有过温保护功能, 内部同步开关提高了效率并省去了外部肖特基二极管 本部分的电路原理如图 2.2 所示 当电源工作正常时, 电源指示灯 D1 会点亮 设计有电流测试功能, 板载采用电阻 R7(0.22Ω), 通过 J1 插座可以检测板子的电流, 进而计算出功耗 2.2. USB 转 UART 图 2.2 电源电路原理图 为了方便用户使用和调试,MiCOKit 的主板上配有一个 USB 转 UART 的功能, 选用的芯片是 FT230X, 是一款 USB 到 UART/FIFO 的转换电路, 主要功能有 : 满握手信号 MODEM 接口信号, 硬件及 Xon/Xoff 流量控制 ; 接口支持 UART 7 / 8 Bit 数据位 1 / 2 停止位 奇偶校验 Mark/Space 校验或无校验 ; 通信速率 : 300-3M(TTL), 512 Byte 传输缓冲区 ; 集成了电平转换器,I/O 口电平支持 5V-3.3V 伏电平逻辑 ; 完全兼容 USB 2.0; 虚拟串口的驱动程序, 支持 :Windows 8 32,64-bit, -bit,windows Vista and Vista 64-bit,Windows XP and XP 64-bit,Server 2003, XP and Server 2008,Windows XP Embedded,Windows CE 4.2, 5.0 and 6.0,Mac OS-X,Linux 3.2 and greater, Android 等 无铅 SSOP16 和 QFN16 封装 这部分的电路原理如图 2.3 所示

9 Reference Manual [Page 8] 图 2.3 USB 转 UART 部分电路原理图 套件通过 USB 和 PC 链接, 安装驱动后,PC 端口就多出来一个串口, 如图 2.4 所示 用户可以通过 串口工具或者超级终端实时监测调试过程 2.3. Wi-Fi 模块型号 图 2.4 USB 转出来的串口 MiCOKit 采用 Wi-Fi 模块不同注意区分 EMW3239-P 和 EMW3239-E MiCOKit-3239 之 Wi-Fi 模块本款 MiCOKit 采用的是上海庆科的 EMW3239 Wi-Fi 模块,EMW3239 是单 3.3V 供电的 Wi-Fi & BT4.1 嵌入式模块, 包含最高主频 100MHz 的 Cortex-M4 MCU, 和 Wi-Fi+BT 射频芯片 拥有 256KB SRAM,1MB 片内 Flash 和 2MB SPI Flash, 以及丰富的外设接口供各种 Wi-Fi&BT 设备使用 获取该模块的详细信息请登录上海庆科网模块产品页面

10 Reference Manual [Page 9] 图 2.5 EMW3239 模块 2.4. LED 指示灯 为了方便用户了解程序的执行情况和套件的工作状态, 主板上有 3 个 LED 指示灯 D1 D2 和 D3 Demo 程序默认 D1 为电源指示灯,D2 为系统指示灯,D3 为射频指示灯 2.5. 运行状态选择 图 2.6 LED 指示灯原理图 为了方便用户调试, 主板上设计了 4 个控制运行状态的摁键开关, 比如 : 将 BOOT 摁住不放状态, 则上电启动就会自动进入 Bootloader 模式 模式选择见 表 2.1 工作模式选择

11 Reference Manual [Page 10] 表 2.1 工作模式选择 BOOT STATUS ELINK FUNCTION H / / NORMAL WORK L H L ATE L L H QC L H H BOOTLOADER 2.6. 按键 MiCOKit 主板上有 4 个按键, 靠近板子的左下, 侧面按压 一个是 ELINK 按键 ; 一个 BOOT 按键 ; 还有一 个是 RESET, 还有一个是 STATUS, 平时可以当用户按键, 这部分的原理图如图 2.7 所示 图 2.7 按键部分原理图 2.7. JTAG 调试接口 MiCOKit 支持标准 JTAG(20PIN) 调试接口, 可以方便连接 J-LINK 或者 U-LINK2 等调试工具, 这部 分的原理图如图 2.8 所示

12 Reference Manual [Page 11] 图 2.8 JTAG 接口部分原理图注意 : 由于 EMW3239 的 IO 所限,JTAG 接口只支持 SWD 调试模式,JLINK 仿真调试下载时请正确选择 2.8. Arduino 接口 MiCOKit 支持标准的 Arduino 接口, 可以方便地插接各种 Arduino 接口的扩展板, 这部分的原理图如图 2.9 所示 图 2.9 Arduino 接口部分原理图 注意 : 由于 EMW3239 的 IO 数量所限, 不是完全兼容 Arduino 接口, 有些脚为空

13 Reference Manual [Page 12] 2.9. 电路图 3.0 PCB 图纸

14 Reference Manual [Page 13] 3

15 Reference Manual [Page 14] 3. MiCOKit-3239 扩展板详解 为了用户快速上手, 验证软件相关部分功能,MiCOKit 专门设计了包含各类传感器的扩展板, 采用标准的 Arduino 接口, 和 MiCOKit Wi-Fi 主板是完美的一套 MiCOKit 扩展板包括输入和输出各类传感器和执行机构, 可以模拟现实折生活中的各类应用, 方便进行各类应用的开发 MiCOKit 扩展板是标准 Arduino 规格的开发板, 主要资源有 : 128x64 OLED 双色显示屏 ; 温湿度传感器, 光照强度传感器, 距离传感器, 红外传感器 ; 8mm RGB 三色 LED, 手机控制色彩和亮度的调节 ; 可调速微型直流电机 ; 2 个用户按键 ; 环境传感器, 九轴运动传感器 ( 选配 ); 苹果专用 CP 芯片 ( 选配 ); Arduino 标准接口 ; Arduino 传感器扩展接口 ; UART 调试接口 MiCOKit 扩展板的接插件采用色彩来区分功能, 黄色插件是数字信号接口, 蓝色插件是模拟信号接口, 红色插件是电源接口, 和主板一一对应 如图 3.1 所示 图 3.1 MiCOKit 扩展板功能图

16 Reference Manual [Page 15] 3.1. OLED 显示屏 有机发光二极管 (Organic Light-Emitting Diode,OLED) 具有自发光, 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异之特性, 被认为是下一代的平面显示器新兴应用技术 MiCOKit 采用了一块 0.96 寸 128x64 点阵式 黄蓝双色 OLED 显示屏, 上面 128x16 为黄色显示区, 下面 128*48 为蓝色显示区 这部分的原理图如图 3.2 所示 该显示屏的特点 : 高分辨率 :128x64( 和 12864LCD 相同分辨率, 但该 OLED 屏的单位面积像素点更多 ); 超广可视角度 : 大于 160 ; 超低功耗 : 正常显示时 0.06W( 远低于 TFT 显示屏 ); 宽供电范围 : 直流 3V-5V; 工业级 : 工作温度范围 -30 ~70 ; 支持多种操作方式 :8 位并口 4 线 SPI IIC; 带片选 CS 信号, 可以实现多个 SPI 或 IIC 设备在同一总线工作 ; 兼容 3.3V 和 5V 控制芯片的 I/O 电平 ( 无需任何设置, 直接兼容 ); 亮度 对比度可以通过程序指令控制 ; 使用寿命不少于 小时 ; OLED 屏幕内部驱动芯片 :SSD RGB 三色 LED 图 3.2 OLED 显示屏电路原理图 MiCOKit 扩展板设计一个 8mm 直径的 RGB 三色 LED 指示灯, 通过 P9813 驱动, 可以通过手机等实现对 LED 的全色彩控制 P9813 是一款全彩点光源 LED 驱动芯片, 采用 CMOS 工艺, 提供三路恒流驱动及 256 级灰度调制输出 采用双线传输方式 (DATA 与 CLK), 内建再生, 可提升传输距离 用于驱动显示灯光变换 各式字符变换 彩色动漫图案 根据不同控制器和客户不同形式要求, 进行脱机或联机运行 该芯片的特点 : IC 输入电压 5V~6.5V; 内置 LDO,4.5V 稳压输出 ;

17 Reference Manual [Page 16] 外置单电阻反馈模式, 三路驱动, 每路驱动电流 0-45mA; 带有输入信号校验功能 ; 内置环振 (1.2MHz) 支持不间断 FREE-RUN 调制输出, 维持画面静止功能 ; 最大串行输入数据时钟频率 15MHz; 具有信号锁相再生,1MHz 数据传输速度下级联点光源可达 1024 个 ; LED 驱动端口耐压 17V 这部分的电路原理如图 3.3 所示 驱动的时序图如图 3.4 所示 图 3.3 RGB 驱动电路原理图 图 3.4 P8913 驱动时序图 注意 : 由于 P9813 不是标准的 I 2 C 接口, 为了节约 IO, 电路连接在 I 2 C 的总线上, 但是需要配置为 GPIO, 根据时序图来实现调色, 调光的功能 3.3. 环境传感器 ( 选配 ) MiCOKit 扩展板可选配博世的新款数字环境传感器 BME280, 该芯片集成了数字湿度 温度和大气压等三个传感器, 封装在一个 2.5x2.5mm 的金属封装内, 实现了小体积, 低功耗 BME280 内部的湿度传感器在快速变化的环境中实现迅速的相应, 响应时间为 1 秒, 在很宽的温度范围内实现高精度 (±3%) 压力传感器是一个绝对大气压传感器, 具有很高的精度 (±0.25 %) 和分辨率 内置的温度传感器优化了噪声干扰, 提高了分辨率, 主要用于内部压力传感器和湿度传感器的温度补偿, 也可用于环境温度的监测 BME280 具有标准的 I 2 C( 可选 SPI) 接口, 电路连接比较简单, 如图 3.5 所示

18 Reference Manual [Page 17] 图 3.5 环境传感器电路原理图 3.4. 九轴运动传感器 ( 选配 ) MiCOKit 扩展板可选配博世的新款数字九轴运动传感器 BMX055, 包含了一个 12 位的三轴加速度传感器, 一个 16 位的三轴角速率 ( 陀螺仪 ) 和一个全功能的三轴地磁传感器 加速度传感器的测量范围为 : ±2g ±4g ±8g ±16g; 陀螺仪的监测范围为 :±125 /s 到 ±2000 /s; 磁场的测量典型值为 :1300μT(X 轴 Y 轴 ) 和 ±2500μT(Z 轴 ) BMX055 具有标准的 I 2 C( 可选 SPI) 接口, 每一个传感器都有独立的中断功能, 电路连接比较简单, 如图 3.6 所示 3.5. 苹果认证芯片 ( 选配 ) 图 3.6 九轴运动传感器电路原理图 为了便于苹果 Home Kit 等开发,MiCOKit 板载一个苹果的认证芯片 (Apple authentication coprocessor, CP), 用于开发苹果认证的外设 具体的资料和使用方法请咨询苹果公司 CP 芯片具有标准的 I 2 C 接口, 电路连接比较简单, 如图 3.7. 所示 图 3.7 苹果 CP 芯片电路原理图

19 Reference Manual [Page 18] 3.6. 距离 光照传感器 MiCOKit 扩展板设计了一个集成型环境亮度和接近传感器 APDS-9930, 该传感器在单个 8 引脚封装内提供 I²C 接口兼容的环境亮度传感器 (Ambient Light Sensor,ALS) 和带有红外 LED 的接近传感器, 其中环境亮度传感器使用双光二极管来近似 0.01 lux 照度下低流明性能的人眼视觉反应, 提供的高灵敏度使得器件可以在深色玻璃后运作 接近传感器经过完全调校可进行 100 毫米物体检测, 免除终端设备和次组件的工厂校准需求 从明亮的阳光照射到黑暗的房间, 接近检测功能都能运作良好 模块中加入微光学透镜提供红外能量的高效率传送和接收, 可降低总体功耗 另外, 内部状态机可使器件进入低功耗模式, 带来极低的平均功耗 具有以下特点 : 集成 ALS 红外 LED 和接近检测器的光学模块 ; 环境亮度感测 (ALS): 1. 近似人眼的视觉反应 ; 2. 带有上限和下限阈值的可编程中断功能 ; 3. 高达 16 位分辨率 ; 4. 深色玻璃后运作的高灵敏度 ; lux 低流明性能 接近检测 : 6. 完全校准到 100 毫米检测 ; 7. 集成红外 LED 和同步 LED 驱动器 ; 8. 免除接近传感器的工厂校准 可编程等待计时器 : 9. 等待状态功耗 - 90µA 典型值 ; 10. 可编程范围为 2.7 毫秒到大于 8 秒 I²C 接口兼容 : 11. 高达 400kHz; 12. 专用中断引脚 睡眠模式功率 - 2.2µA 典型值 ; 小型封装 3.94x2.36x1.35 毫米 APDS-9930 芯片具有标准的 I 2 C 接口, 电路连接比较简单, 如图 3.8 所示 图 3.8 距离 光照检测电路原理图

20 Reference Manual [Page 19] 3.7. 温湿度传感器 MiCOKit 扩展板设计了常用的温湿度传感器 DHT11, 该传感器是一款含有已校准数字信号输出的温湿度复合传感器 它应用专用的数字模块采集技术和温湿度传感技术, 确保产品具有极高的可靠性与卓越的长期稳定性 传感器包括一个电阻式感湿元件和一个 NTC 测温元件, 并与一个高性能 MCU 连接 因此该产品具有品质卓越 抗干扰能力强 性价比极高等优点 每个 DHT11 传感器都在极为精确的湿度校验室中进行校准 校准系数以程序的形式储存在 OTP 内存中, 传感器内部在检测信号的处理过程中要调用这些校准系数 单线制串行接口, 使系统集成变得简易快捷 超小的体积 极低的功耗, 信号传输距离可达 20 米以上, 使其成为各类应用甚至最为苛刻的应用场合的最佳选择 产品为 4 针单排引脚封装 连接方便, 特殊封装形式可根据用户需求而提供 DHT11 数据采用单线制串行接口, 电路连接比较简单, 如图 3.9 所示 时序图如图 3.10 所示 图 3.9 温湿度电路原理图 图 3.10 DHT11 时序图 3.8. 红外反射开关 用 RPR220 型光电对管,RPR220 是一种一体化反射型光电探测器, 其发射器是一种砷化镓红外光二 极管, 而接收器是一个高灵敏度 硅平面光电三极管,RPR220 采用 DIP4 封装, 其具有如下特点 : 塑料透镜可以提高灵敏度 ; 体积小 结构紧凑 ; 当发光二极管发出的光发射回来时, 三极管导通输出低电平 此光电二极管调理电路简单, 工作性能稳定 为了准确监测反射的距离, 三极管的集电极接在 ADC 的接口上, 通过检测电压的大小检测反射的距 离, 同时接一个 LED 指示, 可以通过 LED 的亮度变化判断粗略距离的远近 这部分的原理图如图 3.11 所

21 Reference Manual [Page 20] 示 图 3.11 红外反射电路原理图 3.9. 光照传感器 MiCOKit 设计了一个专门的光照传感器, 采用光敏电阻, 光敏电阻器一般用于光的测量 光的控制和光电转换 ( 将光的变化转换为电的变化 ) 常用的光敏电阻器硫化镉光敏电阻器, 它是由半导体材料制成的 光敏电阻器对光的敏感性 ( 即光谱特性 ) 与人眼对可见光 (0.4~0.76)µm 的响应很接近, 只要人眼可感受的光, 都会引起它的阻值变化 设计光控电路时, 都用白炽灯泡 ( 小电珠 ) 光线或自然光线作控制光源, 使设计大为简化 光敏电阻是电阻值的变化, 可转化为电压的变化, 所以接 ADC 的输入来监测电压的变化, 就能推算出光线的变化, 这部分的原理图如图 3.12 所示 图 3.12 光照传感器电路原理图注意 : 由于不同的 MCU 的 ADC 的最大输入电压会不同, 所以在光敏电阻并联一个分压电阻, 可根据 MCU 最大的 ADC 输入电压来调整电阻值, 以达到最佳的效果 微型直流电动机 为了模拟现场的执行机构,MiCOKit 扩展板上设计一个空心杯的电动机, 型号是 :LX0610C, 空心杯电机属于直流 永磁 伺服微特电机 空心杯电动机具有突出的节能特性 灵敏方便的控制特性和稳定的运行特性, 作为高效率的能量转换装置, 代表了电动机的发展方向 空心杯电机在结构上突破了传统电机的转子结构形式, 采用的是无铁芯转子 空心杯电机具有十分突出的节能 控制和拖动特性 直流电机用一个 NPN 的三极管驱动, 简化驱动模式, 使用 PWM 信号进行调速, 这部分的电路原理图如图 3.13 所示

22 Reference Manual [Page 21] 图 3.13 直流电机电路原理图 标准 Arduino 接口 MiCOKit 扩展板采用了标准的 Arduino 接口, 和 MiCOKit 的主板是无缝链接的, 也可以插在任何标准的 Arduino 的 MCU 主板中, 具体的插脚定义如图 所示 接插件采用色彩来区分功能, 黄色的插件是数字信号接口, 蓝色的插件是模拟信号接口, 红色的插件是电源接口 Arduino 传感器接口 图 3.14 扩展板 Arduino 电路原理图 MiCOKit 扩展板还留有 Arduino 传感器接口, 可以外接模拟 数字等多种传感器, 并支持 3.3V 和 5V 两种电压规格 ( 通过板上的 VDD SELECT 选择 ), 同时, 传感器接口接插件也采用色彩来区分功能, 黄色的插件是数字信号接口, 蓝色的插件是模拟信号接口, 红色的插件是电源接口, 黑色的表示地线的接口 这部分的电路如图 3.15 所示 图 Arduino 传感器接口电路原理图

23 Reference Manual [Page 22] UART 接口 MiCOKit 扩展板预留一个 UART 接口 ( 不是 RS232 接口 ), 方便用户调试和通信 该部分的电路如图 3.16 所示 图 3.16 UART 接口电路原理图

MiCOKit硬件手册

MiCOKit硬件手册 Track Number: MXCHIP Co., Ltd Version: 0.1 2017.8.14 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考 适用对象 (Suitable Readers) 本文适合 MiCOKit-3060

More information

MiCOKit硬件手册

MiCOKit硬件手册 HED Documentation Working Group (HDWG) Track Number: Andy Liu MXCHIP Co., Ltd Version: 1.1 2017.6.6 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考

More information

MiCOKit硬件手册

MiCOKit硬件手册 HED Documentation Working Group (HDWG) Track Number: RM0083CN Andy Liu MXCHIP Co., Ltd Version: 1.0 2017.5.15. Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为

More information

MiCOKit硬件手册

MiCOKit硬件手册 HED Documentation Working Group (HDWG) Track Number: Andy Liu MXCHIP Co., Ltd Version: 1.1 2017.7.3 Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为 MiCO-IoT 物联网开发者提供与硬件相关的技术参考

More information

IOT-MSP432_硬件手册

IOT-MSP432_硬件手册 User Manual 版本 :0.2 日期 :2018-4-9 TI Launchpad 物联网接入扩展板 产品简介 是庆科信息联合 TI 推出的 Launchpad 物联网接入扩展板, 可用于物联网 智能硬件的原型机开 发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全 地连接至云服务平台和手机端, 缩短研发周期, 迅速推向市场 版权声明 未经许可,

More information

MiCOKit硬件手册

MiCOKit硬件手册 MiCO Documentation Working Group (MDWG) Track Number: RM1001CN Jenny Liu MXCHIP Co., Ltd Version: 1.3 2017.1.24. Category: Reference Manual Open 摘要 (Abstract) 本文档主要描述 MiCOKit 系列开发套件的硬件组成及各功能模块电路原理图, 旨在为

More information

User Manual MXKit 开发套件 MXCHIP Development Kit 版本 :1.0 日期 : 编号 :RM0113CN 产品简介 MXKit 开发套件是上海庆科 (MXCHIP) 推出的物联网开发套件, 可用于物联网 智能硬件原型机开发 开发套件提供一个开箱

User Manual MXKit 开发套件 MXCHIP Development Kit 版本 :1.0 日期 : 编号 :RM0113CN 产品简介 MXKit 开发套件是上海庆科 (MXCHIP) 推出的物联网开发套件, 可用于物联网 智能硬件原型机开发 开发套件提供一个开箱 User Manual MXKit 开发套件 MXCHIP Development Kit 版本 :1.0 日期 :2018-5-10 编号 :RM0113CN 产品简介 MXKit 开发套件是上海庆科 (MXCHIP) 推出的物联网开发套件, 可用于物联网 智能硬件原型机开发 开发套件提供一个开箱即用的智能硬件解决方案, 方便验证用户的软件和功能, 使产品可以快速 安全地连接至云服务平台和手机端,

More information

技术资料

技术资料 W600_EVM_V0 硬件开发指南 V.0.0 (winner micro) 电话 :+86-0-66900 公司网址 :www.winnermicro.com 文档历史 版本完成日期修订记录作者审核批准 V.0.0 08-0- 创建叶文占侯小峰侯小峰 目录 W600_EVM_V0 开发板概述... W600_EVM_V0 开发板资源介绍.... 电源.... W600 Wi-Fi 模块....

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流

产品编号 : LEDARE 里代尔 LED 灯泡 :E27,5000 开, 球形 / 乳白色,600 流明 LED1420G8K5 供应商编号 : 信息 600 流明 5000 开 小于一秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8 瓦 600 流 LEDARE 里代尔 LED 灯泡技术规格 产品编号 : 00301423 LEDARE 里代尔 LED 灯泡 :E27,600 流明, 可调光 / 球形透明 LED1423G9/LED1427G9 供应商编号 : 22858/21633 信息 600 流明 小于 1 秒 可调光 灯具尺寸 ( 单位 : 毫米 ) 120 x 60 8.6 瓦 600 流明 灯具功率因素 0.7 显色 87 产品编号

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

P56

P56 LED柔性软灯条 (P62-).62. 8mm Single LED Flexi Strip 8mm单色LED柔性软灯条 SMD 3528 12V LED/meter - Available in 12V DC maximum - pcs LEDs per meter, 7.5Mt per reel - Cuttable every mm at the scissors symbol - Maximum

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

目录 1. 型号说明 产品选型 OLED 显示屏简介 HTDS 系列 OLED 模块具有以下特点 : OLED 显示屏的细节与显示效果 技术说明 SPI 版技术说明 IIC 版技

目录 1. 型号说明 产品选型 OLED 显示屏简介 HTDS 系列 OLED 模块具有以下特点 : OLED 显示屏的细节与显示效果 技术说明 SPI 版技术说明 IIC 版技 HelTec Automation PRODUCT TECHNICAL DATA HTDS 系列 单色 OLED 显示屏 128x64 点阵显示 低功耗 无需背光 高对比度 内置驱动芯片 OLED Model Rev 0.1 P 1 / 15 Aug 2015 Produced by HelTec Automation Limited 目录 1. 型号说明... 3 2. 产品选型... 4 3.

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Seagate_Dashboard_UG.book

Seagate_Dashboard_UG.book Seagate Dashboard Seagate Dashboard 2013 Seagate Technology LLC. Seagate Seagate Technology Wave FreeAgent Seagate Technology LLC 1 GB 10 1 TB 10000 Seagate Seagate Technology LLC 10200 S. De Anza Boulevard

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

铁路机车车辆用电缆

铁路机车车辆用电缆 SPC 上力缆 塑料绝缘屏蔽电线 PVC INSULATION,FLEXIBLE SHIELDED WIRE SHANGHAI POWER CABLE & WIRE CO., LTD. - 1 - 塑料绝缘屏蔽电线 额定电压 / V AVP 铜芯聚氯乙烯绝缘安装用屏蔽电线 固定敷设 RVP 1 铜芯聚氯乙烯绝缘屏蔽软电线铜芯聚氯乙烯绝缘屏蔽聚氯乙烯护套软电线铜芯聚氯乙烯绝缘缠绕屏蔽聚氯乙烯护套软电线

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

东南大学硕士学位论文 LCD 显示中灰度控制机理的研究及电路实现姓名 : 曹志香申请学位级别 : 硕士专业 : 微电子学与固体电子学指导教师 : 孙大有 20040327 LCD 显示中灰度控制机理的研究及电路实现 作者 : 曹志香 学位授予单位 : 东南大学 相似文献 (1 条 ) 1.

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

FR-33.2_user_manual.indd

FR-33.2_user_manual.indd IPEVO Skype www.ipevo.com.tw 1. IPEVO Skype FR-33.2 FR-33.2 FR-33.2 FR-33.1 FR-33.2 2. 2-1 2-2 3. 4. FR-33.2 4-1 Skype 4-2 4-3 4-4 4-5 LIST 4-6 4-7 4-8 5. FR-33.2 5-1 5-2 5-3 5-4 5-5 6. IPEVO Control Center

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

water level_CN_ pdf

water level_CN_ pdf www.heinlanz.cn ..................... page 02-03.......................... page 04-11......... page 04 HD-1000......... page 08....................... page 12-13 WL-GSM-3000.... page 12............ page

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

T1028_Manual_KO_V3 0.pdf

T1028_Manual_KO_V3 0.pdf 2009 : 2009/09 PC Microsoft, MS-DOS, Windows, Windows Sound System Microsoft Corporation Intel, Atom Intel Corporation Sound Blaster, Sound Blaster ProCreative Technology I AC AC AC AC AC - 115 V/60 Hz

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32 ESP32 PCB ESP32 ESP URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32 2.5 208 www.espressif.com ESP32 ESP32 PCB ESP32 ESP32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2 2 2. 2 2.. 3 2... 3 2...2 4 2..2

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

ATI-2019安裝手冊-v

ATI-2019安裝手冊-v #1 ...02 Acronis True Image...03 Acronis True Image 2019...03 Acronis True Image 2019...04 ( ) 64...04 ( ) Acronis Account...05 ( )...05 ( ) Acronis True Image...05 ( ) Windows...06 1....06 2....08 ( )

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

GUANGDONG FENGHUA ADVANCED TECHNOLOGY HOLDING CO., LTD. 2011 2011 -------------------------------------------------------------------------------------1 -------------------------------------------------------------------------2

More information

AXIS P7224 Video Encoder Blade – Installation Guide

AXIS P7224 Video Encoder Blade – Installation Guide 安 装 指 南 AXIS P7224 刀 片 视 频 编 码 器 中 文 法 律 考 虑 事 项 视 频 和 音 频 监 视 可 能 会 受 法 律 限 制, 各 个 国 家 / 地 区 的 法 律 会 有 所 不 同 如 将 本 产 品 用 于 监 控 目 的, 需 要 先 检 查 是 否 符 合 你 所 在 区 域 内 的 法 律 规 定 本 产 品 包 括 四 个 (4) H.264 解 码

More information

<4D F736F F D20CAB5D1E9CAD2B9DCC0EDC6BDCCA856342E315FD1A7C9FAD3C3BBA7B2D9D7F7D6B8C4CF2E646F63>

<4D F736F F D20CAB5D1E9CAD2B9DCC0EDC6BDCCA856342E315FD1A7C9FAD3C3BBA7B2D9D7F7D6B8C4CF2E646F63> 议 用 户 许 可 协 实 验 室 管 理 平 台 学 生 用 户 操 作 指 南 (V4.1) 国 泰 安 信 息 技 术 有 限 公 司 GTA Information Technology Co., Ltd. 国 泰 安 信 息 技 术 有 限 公 司 1 用 户 许 可 协 议 用 户 许 可 协 议 版 权 与 所 有 权 声 明 实 验 室 管 理 平 台 是 由 国 泰 安 信 息 技

More information

Microsoft Word - 办事指南汇总20160401(140x203mm).doc

Microsoft Word - 办事指南汇总20160401(140x203mm).doc 厦 门 人 才 政 策 新 十 八 条 及 相 关 办 事 指 南 汇 编 中 共 厦 门 市 委 人 才 工 作 领 导 小 组 办 公 室 印 2016 年 3 月 目 录 1 中 共 厦 门 市 委 厦 门 市 人 民 政 府 关 于 印 发 关 于 进 一 步 激 励 人 才 创 新 创 业 的 若 干 措 施 的 通 知...() 2 国 家 千 人 计 划 省 百 人 计 划 市 双

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

2015 MCCB Price Book

2015 MCCB Price Book 2015 年塑壳断路器价格表 此部分产品价格自 2015 年 3 月 28 日起正式执行 NSX100~250 固定式完整断路器 NSX400~630 固定式完整断路器 NSX100~250 插入式完整断路器 NSX400~630 插入式完整断路器 NSX100~250 抽出式完整断路器 NSX400~630 抽出式完整断路器 NSX100~250 用于电动机保护的完整塑壳断路器 NSX400~630

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请

安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 1080p 方 形 网 络 摄 像 机 使 用 手 册 201301 800 A2 安 全 信 息 此 摄 像 机 适 用 于 室 内 安 装 使 用 若 要 安 装 于 室 外, 需 要 配 合 使 用 室 外 防 护 罩 ( 不 提 供 ) 开 启 摄 像 机 前, 请 确 保 所 用 电 源 符 合 要 求 使 用 不 适 当 的 电 源 可 能 会 损 坏 摄 像 机 请 勿 将 摄 像 机

More information

FLEX EZS EZS EZC DRL DG E-93

FLEX EZS EZS EZC DRL DG E-93 FLEX EZC DRL DG E-93 / /EZC EZC DC4V -11V 00-30V EZC DC4V -11V 00-30V 11 L CC0ES- 1m 686 CC00ES- m 807 CC030ES- 3m 98 CC00ES- m 1,171 CC070ES- 7m 1,413 CCES- m 1,776 CCES- 1m,38 CC00ES- 0m,987 DC4V m 11

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

目录 D140 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (12MM 顶部安装端口 )... 2 D200 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (19MM 顶部安装端口 )... 3 D210 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 ( 用于 1.5 英寸

目录 D140 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (12MM 顶部安装端口 )... 2 D200 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (19MM 顶部安装端口 )... 3 D210 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 ( 用于 1.5 英寸 目录 D140 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (12MM 顶部安装端口 )... 2 D200 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 (19MM 顶部安装端口 )... 3 D210 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 ( 用于 1.5 英寸法兰侧端口安装 )... 4 D400 美国 BJC 高温灭菌 DO 溶氧电极带 D9 接头 ( 用于标准

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

Siemens Corporate Design PowerPoint-Templates

Siemens Corporate Design PowerPoint-Templates 轨道系统电气组件 SIRIUS 电机接触器 3RT2, 最高至 37 kw www.siemens.com/railway-components SIRIUS 电机接触器 3RT2, 最高至 7.5 kw 简介 接触器 S00 Page 2 SIRIUS 电机接触器 3RT2, 最高至 7.5 kw 说明 接触器 S00 特点 全部接口均采用弹簧 / 螺钉式连接技术 ( 可咨询另购圆形端子连接型号

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

Wireless Plus.book

Wireless Plus.book Seagate Wireless Plus 用 户 指 南 型 号 1AYBA2 Seagate Wireless Plus 用 户 指 南 2013 Seagate Technology LLC. 保 留 所 有 权 利 Seagate Seagate Technology Wave 徽 标 和 Seagate Media 是 Seagate Technology LLC 或 其 某 个 子 公

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information